SignificanceDriven by emerging technologies such as artificial intelligence, the explosive growth in computing power demands, coupled with the constraints of advanced electronic chip fabrication processes, has positioned silicon-based photoelectronic synergistic integration as a critical pathway to overcome the bandwidth, latency, and energy efficiency bottlenecks inherent in the traditional “electronic control-electronic computing-electronic interconnect (EEE)” paradigm. Currently, two evolutionary paradigms dominate photoelectronic synergistic technology, namely “electronic control-electronic computing-photonic interconnect (EEP)” and “electronic control-photonic computing-photonic interconnect (EPP)”. The former focuses on leveraging the high-speed advantages of photonic interconnects to enhance data transfer efficiency between and within chips, while the latter further exploits the potential of photonic computing to accelerate computation-intensive tasks in neural networks.ProgressThis review systematically summarizes the current status and trends in silicon-based photoelectronic synergistic integration, highlighting key technologies and representative achievements within the EEP and EPP paradigms. For EEP, we survey optical I/O (OIO) architectures enabling terabit chip-to-chip links; innovations in co-packaged optics (CPO) and linear-drive pluggable optics (LPO) reducing latency and energy; and large-scale optical switches such as 128×128 electro-optic arrays with sub-2-ns switching. For EPP, progress spans photonic linear accelerators using Mach-Zehnder interferometer (MZI) networks, diffraction structures, and wavelength-division multiplexing; electro-optic nonlinear units such as graphene/silicon heterojunctions for activation functions; and integrated photonic neural networks achieving breakthroughs such as 160-TOPS/W (TOPS: tera operations per second) efficiency. Crucially, scaling such systems hinges on high-performance elementary devices: ultra-low-loss passive components (waveguide crossings, delay lines) and high-efficiency active devices (modulators, detectors).Conclusions and ProspectsSilicon-based photoelectronic synergistic integration offers transformative efficiency and parallelism but faces distinct challenges: EEP technologies (CPO/LPO modules, OIO chiplets) approach commercialization to address imminent interconnect bottlenecks, while EPP solutions (photonic tensor cores) remain laboratory demonstrations requiring breakthroughs in scalable nonlinearity and algorithm-hardware co-design. This difference in commercialization phases establishes a symbiotic relationship: mature optical interconnect technologies (EEP) will provide the essential infrastructure platform for deploying optical computing systems (EPP), collectively forming a heterogeneous ecosystem for next-generation high-performance computing. Silicon-based photoelectronic synergistic integration will progress through three key thrusts: heterogeneous integration of electronic and photonic components; architectural innovations enabling dynamic computing-communication convergence; and application-tailored co-design of photonic computing hardware with optimized algorithms. Currently in its rapid development phase, this field represents a catalytic force in computational architecture, where the synergistic interplay of photonic and electronic technologies will propel artificial intelligence and high-performance computing into a new developmental era.
SignificanceWith the rapid advancement of artificial intelligence technologies, artificial neural networks have demonstrated remarkable performance across a wide range of applications, including speech recognition, image recognition, autonomous driving, natural language processing, and time series forecasting. The exponential growth in data volume has imposed unprecedented challenges to computational hardware in terms of processing power and energy efficiency. Traditional electronic computing is constrained by the von Neumann architecture and the bottlenecks of Moore’s law. In this context, on-chip integrated optical neural networks have emerged as a promising solution. Leveraging the intrinsic parallelism of light across multiple physical dimensions, optical computing—utilizing multidimensional optical fields such as phase, amplitude, mode, spectrum, and polarization as information carriers—offers ultra-high-capacity data interaction and highly parallel information processing capabilities. Photonic integrated circuits (PICs), particularly those based on silicon photonics, are regarded as a primary platform for on-chip optical computing due to their high integration density, compatibility with mature silicon-based fabrication processes, and heterogeneous integration advantages. PICs can also utilize advanced optical-electronic co-packaging technologies to achieve high-density, low-power integration of optical computing units with conventional electronic processing units, realizing highly integrated optoelectronic computing. These outstanding characteristics endow optical computing architectures with tremendous potential in processing neural network tasks characterized by high parallelism and complex connection structures, making them particularly suitable for implementing large-scale deep neural network models containing massive neurons and synaptic connections.ProgressLeveraging a hybrid optical architecture that integrates traditional electronic computing units with optical computing elements represents the predominant framework for contemporary on-chip integrated optical neural networks. The functional modules of these systems are typically comprised of three principal components: an emission section, a processing section, and a reception section. The emission section, functioning as the input interface for the neural network, is typically provided by single or multiple laser sources. Through modulators, input data is encoded into various physical dimensions of the optical signal, including phase, amplitude, and frequency. Following modulation, the optical field is subsequently transmitted to the processing section of the on-chip optical computing unit. This section primarily comprises integrated photonic devices such as Mach-Zehnder interferometers (MZIs), microring modulators (MRMs), and optical metasurfaces, which execute linear transformation operations including matrix-vector multiplication (MVM) and convolution. The output terminal of the network is completed by an array of photodetectors in conjunction with optical amplifier units. By measuring the received optical power, optical-to-electrical signal conversion is achieved, facilitating further processing and output generation. It is noteworthy that certain operations not amenable to optical domain processing still necessitate implementation through traditional electronic computing units, thereby forming a collaborative optoelectronic computational architecture. For instance, digital input signals must first be converted to analog electrical signals via electronic units to drive modulators on the photonic chip. After optical units complete feature extraction and information compression, the optical signals are remapped to the electrical domain through optoelectronic conversion for nonlinear operations, subsequently transmitting computational results for further data processing. This hybrid optoelectronic architecture for on-chip integrated neural networks not only preserves the flexibility of existing electronic computing for processing complex nonlinear operations but also fully leverages the advantages of optical methods in terms of bandwidth, latency, and energy efficiency. By fully exploiting the distinctive characteristics of both optical and electrical technologies, this approach achieves significant enhancement of computational capabilities through optoelectronic synergistic mechanisms.Conclusions and ProspectsWith the continuous breakthroughs in optoelectronic co-design, high-bandwidth optical interconnects, advanced packaging technologies, on-chip integration techniques, and novel materials, on-chip integrated optical neural networks are expected to evolve toward greater scale, lower latency, and higher energy efficiency. The integrated optical computing platform holds great promise for widespread applications across complex and diverse task scenarios including edge computing, Internet of Things (IoT), autonomous driving, and quantum technologies. As a result, it is poised to become a key engine driving the structural transformation of next-generation artificial intelligence hardware architectures.
Significance AsMoore’s law approaches its physical limits, conventional electronic systems encounter fundamental bottlenecks in data transmission bandwidth, computational efficiency, and energy consumption. Silicon photonics emerges as a transformative solution that enables seamless photonics-electronics convergence, fundamentally addressing these limitations that constrain the future of information technology. By synergistically combining the inherent parallelism and high bandwidth capabilities of light with the mature processing infrastructure of silicon electronics, this convergence creates unified platforms that transcend traditional performance boundaries and establish new benchmarks across critical application domains. The strategic significance of silicon photonics lies in its ability to provide integrated photonic-electronic solutions across three foundational pillars of modern information infrastructure: optical communication, optical sensing, and optical computing. This convergence enables each domain to leverage the complementary strengths of both photonic and electronic technologies, creating synergistic capabilities that neither could achieve independently. Through this unified approach, silicon photonic systems deliver exceptional energy efficiencies via hybrid light-electronic processing, positioning photonics-electronics convergence as an essential enabler for artificial intelligence acceleration, edge computing applications, and next-generation technological advancement.The comprehensive review of silicon photonics presented in this article plays a significant academic role during the ongoing shift from traditional electronic architectures to photonic-electronic integrated systems. The significance of such systematic reviews extends beyond documenting existing knowledge to providing strategic guidance for a field undergoing fundamental technological transformation. The timing of focused research synthesis is particularly relevant as the semiconductor industry and broader technology ecosystem address the approaching limits of Moore’s law scaling. At this transition point, the research community and industry benefit from authoritative consolidation of knowledge that has developed across multiple disciplines. Comprehensive reviews help bridge knowledge gaps by integrating insights from materials science, device physics, system integration, and application domains into unified analytical frameworks. Such consolidation supports informed decision-making regarding research priorities and technological roadmaps in the post-Moore era.Systematic reviews in silicon photonics also establish intellectual coherence within this rapidly evolving, interdisciplinary field. Silicon photonics research spans from fundamental physics to commercial applications, often creating compartmentalized knowledge development. By examining technological evolution across optical communication, sensing, and computing domains, comprehensive reviews facilitate cross-pollination of ideas and identify synergistic opportunities that might otherwise remain unrecognized. This synthetic approach accelerates innovation by enabling researchers to leverage insights from adjacent areas. Such reviews provide valuable intellectual infrastructure for coordinated advancement toward photonic-electronic integration, transforming distributed research efforts into coherent strategic vision supporting the post-Moore era transition.ProgressThe exponential growth of global internet traffic and artificial intelligence (AI)-driven applications has created an insatiable demand for data transmission capacity, rendering conventional copper-based interconnects inadequate. Silicon photonic integration addresses this critical bottleneck by enabling multi-channel parallelism and dense wavelength division multiplexing (DWDM), achieving single-chip data transmission rates exceeding terabits per second (Fig. 6). Significant advances in modulation technologies have been achieved through innovations in Mach-Zehnder modulators (MZMs) and microring modulators (MRMs), with modulation bandwidths surpassing 110 GHz. These breakthroughs have enabled the development of energy-efficient co-packaged optics (CPO), which deliver substantial power reductions compared to traditional pluggable transceiver modules. This technology has become indispensable for next-generation hyperscale data centers and exascale high-performance computing infrastructures (Fig. 7). Silicon photonics has also revolutionized optical sensing applications, particularly in lidar systems for autonomous vehicles and robotics (Figs. 14 and 15). The technology enables unprecedented integration density and performance capabilities through frequency-modulated continuous-wave (FMCW) lidar systems integrated with optical phased arrays (OPAs), demonstrating high-resolution beam steering and long-range detection capabilities. Remarkable scaling progress has been achieved from early proof-of-concept systems to large-scale optical phased array implementations, while monolithic integration breakthroughs have enabled solid-state FMCW lidar systems with real-time multi-dimensional imaging capabilities and enhanced ranging performance. These advances have culminated in the demonstration of parallel processing capabilities through advanced microcomb integration and sophisticated opto-electronic co-packaging technologies, positioning silicon photonic lidar as a commercially viable solution for autonomous vehicles and advanced sensing applications. Photonic-electronic synergistic architectures represent a paradigm shift beyond the traditional von Neumann bottleneck. Photonic neural networks and matrix accelerators exploit the inherent parallelism and high bandwidth of light, achieving energy efficiencies several orders of magnitude higher than conventional electronic systems. Advanced architectures including Mach-Zehnder interferometer (MZI) meshes, microring weight banks, intensity modulation arrays, and metasurface diffractive optical networks have demonstrated energy efficiency exceeding 100 TOPS/W (TOPS: tera operations per second). These systems enable real-time inference on complex AI models such as ResNet and bidirectional encoder representations from Transformers (BERT) (Figs. 17 and 18). The integration of non-volatile phase-change materials and plasmonic modulators further enhances computational speed and efficiency, positioning silicon photonics as a disruptive technology in high-performance computing and edge AI applications.Despite substantial technological advances, achieving industrial-scale viability in silicon photonics requires addressing fundamental scientific barriers to large-scale integration. The foremost challenge stems from inherent material compatibility issues, where significant lattice mismatches and thermal expansion coefficient differentials between dissimilar materials generate interfacial defects and thermomechanical stress, critically degrading optical performance parameters and compromising long-term reliability. Equally critical is achieving nanoscale precision control and high manufacturing yield across massively integrated photonic-electronic arrays, where increasing integration densities exponentially amplify the performance impact of process variations. System-level packaging and thermal management present additional complexities arising from the contrasting requirements of temperature-sensitive photonic components and power-dissipating electronic circuits.Conclusions and ProspectsTransformative pathways forward include three-dimensional heterogeneous integration utilizing through-silicon vias and micro-bump technologies, transcending planar density limitations through vertical stacking and functional layer co-optimization. The emergence of chiplet-based modular design paradigms enables standardized photonic input/output cores, enhancing system configurability while reducing development cycles. Most significantly, the deep convergence of artificial intelligence with photonics will unlock transformative capabilities through deep learning-enabled inverse design, enabling discovery of novel micro/nano-photonic structures beyond empirical intuition, while AI-driven process optimization and autonomous device tuning will dramatically improve manufacturing yield and operational reliability. These advances will catalyze profound transformations across the information technology landscape. Silicon photonics will underpin terabit-per-second optical backbones essential for 6G networks, enable miniaturized high-sensitivity sensors for autonomous mobility and smart infrastructure, and drive photonic-electronic convergence toward distributed computing paradigms that harness the inherent parallelism of light for unprecedented computational efficiency in exascale artificial intelligence applications.As the pivotal enabler for the post-Moore era, silicon photonics represents both an evolutionary imperative and a defining opportunity to augment human cognitive capabilities. Through sustained cross-disciplinary innovation, this technology will establish the indispensable technological foundation for an intelligently interconnected future, marking a transformative chapter in information technology evolution.
SignificanceThe exponential growth in traffic demand within fiber access networks is driven by the rapid advancement of multi-service applications, including 5G/6G, artificial intelligence, and big data. To address this demand, optical communication technologies leveraging multiple physical dimensions—such as space-division multiplexing (SDM), mode-division multiplexing (MDM), and high-order modulation—have been extensively implemented, significantly increasing optical fiber transmission capacity. However, as per-fiber capacity approaches the Pbit/s threshold, complex channel impairments arising from modal coupling, Kerr nonlinearity, and chromatic dispersion become more pronounced. These impairments generate substantial channel imbalance, emerging as a critical performance limitation, particularly in short-reach optical interconnects within data centers.Intensity modulation with direct detection (IM-DD) continues to serve as a prevalent solution to meet requirements for high integration density and low power consumption. However, restricted modulation bandwidth and in-channel signal degradation pose considerable challenges, necessitating advanced equalization techniques.Traditional approaches to mitigate channel impairments have followed two primary paths optical-domain equalization utilizing passive fiber components and electrical-domain digital signal processing (DSP). Optical-domain equalization facilitates low-power, high-speed operation through pre-compensation but exhibits high sensitivity to device precision and environmental conditions. DSP-based methods employ algorithmic compensation at the receiver side, including feedforward equalizers (FFE), Volterra nonlinear equalizers (VNLE), and neural network?based equalizers, offering robust and adaptive performance. However, semiconductor processes approaching physical scaling limits render the complexity of nonlinear equalizers increasingly difficult to address through device density improvements. Furthermore, substantial training costs and limited convergence efficiency present significant obstacles to practical implementation. Consequently, developing more efficient and scalable equalization strategies has become crucial.Advances in silicon photonics have facilitated expanded implementation of photonic chips for optical-domain equalization. These systems reduce DSP complexity and enable low-latency, low-power operation by performing joint linear and nonlinear compensation prior to electrical conversion. Photonic chips incorporating optical neural networks demonstrate capability in learning and compensating for channel impairments, indicating potential for intelligent equalization. However, significant challenges persist, including multi-physics co-design, fabrication tolerance control, and complementary metal oxide semiconductor (CMOS) compatibility. Large-scale integration introduces additional concerns regarding inter-channel crosstalk and thermal instability.Hybrid optoelectronic equalization, which combines optical speed and parallelism with electronic adaptability, represents an emerging solution for next-generation communication systems. Given the significant nonlinearity in data center transmission channels, a systematic review of hybrid optoelectronic equalization techniques’ working principles and recent developments is essential. A thorough comparison of various approaches’ advantages and limitations provides valuable direction for future research and advances channel equalization toward reduced power consumption, decreased latency, enhanced integration, and improved intelligence.ProgressThis paper reviews the operating principles and recent advancements of classical electrical-domain equalization algorithms and neural network?based DSP equalizers, providing a systematic comparison of their respective advantages and limitations. It then shifts focus to current hybrid optoelectronic equalization techniques for optical channel compensation and briefly introduces the main research contributions of our team.Early optical communication systems utilized fiber components’ physical properties for optical-domain compensation (Fig. 1). However, traditional optical compensation methods prove inadequate for modern high-speed and high-modulation-format networks as data rates exceed 100 Gbit/s. Consequently, DSP-based electrical-domain equalization has emerged as a flexible and programmable solution, capable of reconstructing channel impairments by solving the inverse form of the nonlinear Schr?dinger equation (NLSE). Common implementations include feedforward equalizers (FFE, Fig. 2), decision feedback equalizers (DFE, Fig. 3), and Volterra series equalizers (Fig. 4), achieving linear and nonlinear compensation through adaptive filter coefficient updates.The increasing distance and capacity of short-reach optical links have resulted in more complex nonlinear channel distortions that resist conventional modeling approaches. Performance requirements necessitate advanced DSP fabrication processes. Traditional equalization algorithms face practical constraints including challenging parameter tuning and limited adaptability to dynamic channels. Neural network?based equalization presents a viable alternative, with researchers exploring standalone neural models and hybrid DSP?neural network architectures for managing linear and nonlinear impairments (Fig. 5). Bidirectional architectures such as BiRNN and BiLSTM demonstrate enhanced performance through superior temporal feature capture of optical channels. Reservoir computing (RC), a simplified variant of recurrent networks, provides additional benefits through sparsely connected reservoirs with fixed internal weights, limiting training to the output layer. This reduction in training complexity makes RC particularly appropriate for resource-constrained intelligent equalization systems.Optical computing has emerged as an energy-efficient alternative, incorporating signal processing directly within the optical domain and circumventing traditional electronic DSP architectures’ power and bandwidth constraints. Recent advances in silicon photonics enable optical neural networks (ONNs) to integrate deep learning capabilities with photonic circuits, facilitating intelligent equalization and pre-compensation entirely within the optical domain. The extension of reservoir computing to the photonic domain creates photonic reservoir computing (Fig. 6), combining minimal complexity with robust nonlinear dynamic processing capabilities.
SignificanceElectronic-photonic convergence (EPC) represents a crucial technology for addressing performance limitations in traditional electronic systems and improving information processing efficiency. The design of electronic-photonic integrated chips for next-generation computing interconnects and high-speed communications necessitates addressing challenges in cross-domain co-optimization between photonic and electronic components. Current EPC co-simulation methods encounter several technical constraints, including insufficient integration of electronic and photonic toolchains, inefficient cross-platform data exchange, and the lack of unified multiphysics domain solvers. Photonic device modeling can be implemented through hardware description language (HDL) by utilizing established complementary metal oxide semiconductor (CMOS) platforms and electronic design automation (EDA) tools. This methodology enables photonic simulation integration into microelectronic design environments, reduces dependence on specialized photonic simulation tools, and facilitates efficient electronic-photonic system development within a unified platform.ProcessThis paper presents a comprehensive review of photonic device modeling in the context of emerging trends in electronic-photonic co-simulation technologies. Photonic devices can be modeled using various hardware description languages, such as Verilog-A or SPICE, which rely on the differential-algebraic equation (DAE) solving framework intrinsic to EDA platforms. These flexible mathematical expression and computational capabilities are particularly well-suited for describing the wave properties and optical field variations of photonic devices. Current photonic device modeling primarily employs hardware description language, including Verilog-A behavioral-level modeling, SPICE circuit-level modeling, and hybrid techniques that combine both methods. Verilog-A, a widely employed hardware description language in integrated circuit design, is intended to model the dynamic behavior of devices and analog circuits. Verilog-A behavioral modeling focuses on describing the relationship between device inputs and outputs from a higher level of abstraction. The modeling principle lies in establishing mathematical relationships, via analytical functions or scattering matrices, between input signals and device responses, thereby avoiding the direct modeling of complex physical mechanisms. With its inherent flexibility and numerical computation capabilities, Verilog-A has been effectively extended to optoelectronic device modeling, enabling accurate representation of the dynamic evolution of optical fields. In 2013, Kononov established a photonic Verilog-A library that included elements such as lasers, photodetectors, waveguides, and directional couplers, enabling optical signal transmission and computation within an EDA environment. Several studies on the Verilog-A modeling approach have aimed to enhance the expressive capabilities for photonic devices. For example, in 2024, Zhang proposed an improved model structure to capture nonlinear effects (Fig. 2).SPICE typically refers to circuit netlists composed of fundamental electrical components such as resistors, capacitors, inductors, and controlled sources. This modeling language characterizes circuit structures by describing the interconnections of circuit nodes and formulates equations based on Kirchhoff's laws to solve for voltage and current distributions. Since SPICE represents the most fundamental unit in electronic design, modeling photonic devices as equivalent electrical components facilitates the co-design and co-simulation with surrounding driving circuits. For active photonic components such as lasers and photodetectors, the presence of significant carrier transport phenomena makes SPICE equivalent circuit models particularly well-suited for capturing the electrical and optical behavior through rate equation formulations (Fig. 3 and Fig. 4). Meanwhile, as modulators are driven by electrodes, their electrical characteristics can be inherently represented using circuit-level models. In 2017, Shin proposed an equivalent circuit modeling method for modulators, in which the device was divided into three sections based on coupled-mode theory [Figs. 5(a) and 5(b)]. The equivalent circuit modeling of passive devices presents challenges, as their behavior primarily depends on electromagnetic field distributions rather than carrier transport. Consequently, extracting electrical equivalent models directly from their modal characteristics or geometric structures proves difficult. In 2022, Ye proposed an SPICE modeling method for passive devices, where S-parameters were modeled using the complex vector fitting (CVF) algorithm through pole-residue decomposition [Fig. 5(c)]. In 2024, Ming proposed an SPICE equivalent circuit model for photonic devices based on a numerical equivalence approach. By utilizing controlled sources, functional operations were implemented to map the analytical expressions of photonic devices into logic computation circuits [Fig. 5(d)].In addition, hybrid modeling approaches that integrate SPICE with Verilog-A combine the circuit-level accuracy with the lightweight flexibility of behavioral-level (Fig. 6). This enables efficient mapping of photonic devices into EDA environments, enhancing the capabilities for system-level design and analysis in electronic-photonic integrated systems. A comparison of the functional capabilities of different modeling methods is summarized (Table 1).However, the fundamental differences in the nature and propagation mechanisms between optical and electrical signals pose significant challenges in directly incorporating photonic devices into conventional microelectronic simulation workflows. In 2015, Agaskar proposed the equivalent baseband shifting solution, in which the optical carrier frequency was shift-down to zero frequency by selecting a reference frequency. To address accuracy degradation caused by cumulative errors in cascaded photonic device models, Jiang proposed an infinite impulse response (IIR) modeling method in 2024. This method enabled frequency-range extrapolation during modeling by adjusting the sampling frequency, effectively suppressing numerical error accumulation. In the same year, Feng from the same research group introduced a polynomial extrapolation approach for frequency-domain S-parameters, further improving the practicality and scalability of the modeling framework (Fig. 7). In 2024, Fang proposed an efficient and high-accuracy simulation method for optoelectronic integrated links based on an EDA platform, significantly improving the efficiency of electronic-photonic co-simulation.Conclusions and ProspectsSubstantial advances have been achieved in hardware description language modeling of photonic devices, establishing a crucial foundation for EPC. This field enables the design and simulation of integrated optoelectronic systems on established microelectronic platforms, following IC design principles. The integration of photonic models into the electronic design workflow eliminates reliance on external simulation engines and removes technical barriers between these domains, providing a viable pathway toward electronic-photonic design automation (EPDA). This paper reviews the progress in photonic device modeling. While the proposed methodologies and implemented module characteristics vary, the fundamental aim remains consistent: accurately representing optical and physical characteristics of photonic devices within EDA environments. The paper addresses challenges in modeling accuracy and simulation efficiency, presenting corresponding solutions. Future developments should emphasize the hierarchical and collaborative advancement of modeling approaches, progressing from behavioral models to phenomenological models, and ultimately to full-physics models, based on the unified solution of electrical Kirchhoff's laws and optical Maxwell's equations.
SignificanceThe exponential growth of large AI models places heavy demands on computational capacity, energy efficiency, and input/output bandwidth. Conventional electronic computing systems face fundamental limitations due to the physical constraints of electrons. Optoelectronic computing systems, which combine the ultra-high bandwidth, minimal latency, and superior energy efficiency of photons with the logical control and memory of electronics, offer one of the most promising pathways to overcome these bottlenecks in the AI era. In this paper, we establish the strategic significance of optoelectronic computing systems in addressing challenges such as trillion-parameter model training and inference. Using optical domains for high-speed transmission, parallel processing, and specialized computation, alongside electronic domains for general tasks, such systems can meet the multidimensional requirements of next-generation computing infrastructures.ProgressIn this paper, the optoelectronic computing system is decomposed into three core parts: data input/output (I/O), data switching, and data processing. Each part is discussed in terms of technical evolution and the latest research progress. In data I/O, the skin effect causes the transmission distance of electrical signals to drop sharply modulation speed increases. A straightforward solution is to place optoelectronic conversion devices closer to chips, as optical domains avoid the speed-distance trade-off. Current research focuses on two approaches to boost I/O bandwidth. One is developing ultra-high-bandwidth platforms, such as 400 Gbit/s demonstrated with electro-absorption modulated laser (EML) and thin-film lithium niobate (TFLN), and greater than 500 GHz with plasmonic modulators. Novel materials such as lanthanum-modified lead zirconate titanate (PLZT, >300 Gbit/s) and BTO platforms (>500 GHz) further expand possibilities. Another approach is to increase the number of channels and wavelengths rather than modulation speed, as shown by Ayar Labs’ 8 Tbit/s and Nubis’ 1.6 Tbit/s demonstrations. Each lane maintains a relatively low modulation speed, such as 32 Gbit/s. In the section on data switching, electronic routers are being replaced by optical circuit switches (OCS). Google’s Apollo OCS system demonstrates significant advantages over conventional systems based on electronic routers, including low latency, high energy efficiency, and cost reduction. After explaining the benefits of OCS over electronic routers, we discuss the latest research on two types of OCS. In the first category, optical switch arrays based on different technologies, including micro-electro-mechanical systems (MEMS), PLZT, liquid crystal on silicon (LCOS), nano-opto-electro-mechanical systems (NOEMS), the thermo-optic effect, the electro-optic effect, and non-Hermitian designs, are summarized in one table. The largest MEMS OCS, from Calient, offers 640 ports, each with low insertion loss and polarization independence. Integrated OCS has fewer ports (128 or 240) with higher insertion loss and polarization dependence, but switches three orders of magnitude faster than MEMS OCS. The second type of OCS, wavelength switching, typically offers a small number of ports, such as 32. In the section on data processing, research in optoelectronic computing systems falls into three categories. One category is free-space-based systems, characterized by ultra-large scale and low propagation loss. Numerous pioneering works have been demonstrated in this category, such as diffractive deep neural networks, reconfigurable reservoir computing systems, and analog iterative machines. The second category is fiber-based systems that extend computational capacity through time and wavelength domains. Typical demonstrations include a temporal-multiplexed Ising machine solving 100000-node models and convolutional neural networks (CNNs) achieving 5.6 TMAC/s. The last type, integrated system, uses CMOS infrastructure and advanced packaging to create compact, efficient optoelectronic computing systems. The largest matrix realized in this category has a size of 512×512.Conclusions and Prospects We review recent progress in optoelectronic computing systems and address three key challenges in separate sectionssystem scalability, conversion overhead, and bandwidth limitations. For system scalability, optical computing chiplets must work with CPO/OCS technologies, while 2.5D/3D heterogeneous integration enhances photonic-electronic density and mitigates interconnect bottlenecks. In the section on conversion overhead, two specific conversion overheads are explicitly discussed. One exists between the optical domain and the electronic domain, such as in modulators, laser sources, and photodetectors. The other is conversion between analog and digital domains, involving analog-to-digital converters (ADCs), digital-to-analog converters (DACs), and transimpedance amplifiers (TIAs). Based on a systematic analysis and literature review, we find that the ultimate energy efficiency of an optoelectronic computing system is determined by these two conversion overheads. Three reliable methods have been proposed to improve energy efficiency: developing non-volatile memory devices that can significantly reduce energy consumption, utilizing relatively low-precision devices, and designing particular loop structures that can reduce conversion overhead. The last section explains the limitations of system bandwidth. Because of the limited bandwidth of the electronic computing unit, the optical computing core cannot unleash its unprecedented computational capacity. Free-space computing systems and integrated computing systems are discussed in this section as two reliable ways to build optoelectronic computing systems. Finally, a distributed architecture is proposed to meet ultra-high bandwidth requirements.
Significance The relentless demand for artificial intelligence and data processing is facing a fundamental barrierthe von Neumann architecture’s energy efficiency bottleneck. Shuttling data between physically separated memory and processing units consumes excessive power, hindering scalability for complex tasks like real-time pattern recognition and large-scale simulation. This has prompted a shift in research paradigms from solely relying on process miniaturization towards exploring non-von Neumann architectures and novel physical carriers. Neuromorphic computing, inspired by the brain’s massively parallel, event-driven, and co-located memory/compute structure, offers a revolutionary path forward. Moreover, optical computing has emerged as a particularly compelling platform for neuromorphic implementation due to its inherent ultrahigh speed (enabling terahertz operations), massive parallelism (through wavelength and spatial multiplexing), and low power consumption (minimal resistive losses during signal propagation). In recent years, by combining the advantages of optical computing and neuromorphic computing, specialized optical processors have demonstrated a potential far exceeds that of electronic processors in tasks such as mathematical operations and signal processing.Nevertheless, existing optical artificial intelligence accelerators still exhibit significant limitations: on one hand, they are functionally singular, tailored only for specific neural network architectures or tasks, lacking versatility. On the other hand, the model complexity and experimental performance of optical neural networks remain relatively low, with key metrics such as classification accuracy still lagging behind those of electronic neural networks. This stems primarily from the inherent physical properties of photons: the difficulty in efficiently storing and modulating optical signals makes fully optical, general-purpose neuromorphic computing still elusive.Against this backdrop, optoelectronic-integrated neuromorphic computing has emerged as the most viable technological pathway. By organically combining the flexibility of electronic control with the high bandwidth, low latency, and other advantages of photonic transmission, it utilizes the high-speed parallel nature of light for rapid data transmission and processing, reducing data transfer delays. Simultaneously, it leverages the precise control and storage capabilities of electronics to implement complex logic operations and information storage. This integration can break through limitations of traditional computing architectures, significantly enhancing computational speed and energy efficiency to meet the high-performance computing demands of fields like artificial intelligence and big data.ProgressNeuromorphic computing fundamentally necessitates the deployment of sophisticated algorithms onto dedicated neuromorphic hardware. This requirement inherently demands a profound level of co-design and optimization, a process that must span both the architectural blueprint and the functional implementation of the algorithms and the neuromorphic devices. When considering the hardware implementation level specifically, the simulation of spiking neural networks typically adopts a modular design approach. Within this approach, a key separation occurs: the computational function performed by the neuronal soma is deliberately segregated from the weighted connections managed by the synapses.The application of various optical neuromorphic devices within this neuron simulation paradigm is sequentially illustrated in Fig. 2. Utilizing these devices as foundational components, researchers have successfully achieved significant milestones: optical simulations accurately replicating neuronal spiking dynamics, simulations effectively modeling synaptic plasticity, and implementations enabling reconfigurable weight configurations. Leveraging this intrinsic “propagation-as-computation” characteristic, along with the ultra-strong parallel processing capabilities inherent to photonics, becomes crucial. Consequently, photonic computing technology targeted for artificial intelligence demonstrates substantial advantages?specifically computational speed and energy efficiency advantages measured to be several orders of magnitude higher than those achievable with conventional electronic computing.Most importantly, the fundamental functional units underlying neuromorphic computing possess distinct properties categorized as linear computation and nonlinear computation. The implementation of these units relies upon the use of either active devices or passive devices. Based precisely on these fundamental unit properties and their implementation dependencies, the recent progress made in developing three different hardware architectures specifically for optoelectronic neuromorphic integrated computing is systematically introduced. To provide a detailed comparative analysis, Table 2 further examines and contrasts the performance characteristics of these three hardware architectures, which encompass critical metrics across several dimensions: nonlinear capability, power efficiency, maximum response speed, scalability potential, associated cost factors, and physical integration area requirements.Conclusions and ProspectsDespite demonstrating immense potential in speed and power consumption, optical neuromorphic computing still faces numerous critical challenges on its path to achieving high scalability, density, and performance—moving from specialized computing to general-purpose architectures, and transitioning from discrete experimental verification to practical applications—when compared to biological neural systems with their highly structured, parallel, adaptive, and data fault-tolerant capabilities. The development of optoelectronic integrated neuromorphic computing relies on multi-dimensional, deep-level collaborative breakthroughs. This requires not only the continuous evolution of optoelectronic integration technology and innovation in neuromorphic computing algorithmic models, but more critically, deep co-design and optimization between the optoelectronic integrated hardware systems and the algorithmic models.
SignificanceWith the acceleration of global informatization, the volume of communication data has been growing exponentially, which puts forward higher requirements for the characteristics of high speed, large capacity, and low cost of information networks. However, the bottleneck of integrated circuits is gradually emerging with the slowdown of Moore’s law. Compared with electronic integrated circuits, photonic integrated circuits (PICs) can significantly reduce the system’s size, weight, operating power, and cost (SWaP-c). A PIC is a miniaturized platform that monolithically combines diverse optical functionalities, including photodetection, signal modulation, spectral filtering, optical routing, and nonlinear optical processes, within a precisely fabricated chip-scale architecture. Its submicron-scale waveguide structures enable deterministic control of light propagation characteristics, while standardized semiconductor manufacturing processes enable cost-effective mass production. While silicon maintains near-total dominance in microelectronics fabrication, PICs demonstrate the versatility of material systems through heterogeneous integration. This is mainly because different material platforms offer various advantages and disadvantages, and therefore, there is no single dominant material platform in the field of integrated photonics. Heterogeneous integration technology can make full use of the advantages brought by multiple materials and structures to realize “complementary material advantages” and “synergistic enhancement of functions”, which can further improve the performance of the device and expand its functions, making it useful in optical communications, computing, lidar, microwave photonics, and other applications. Research in this field not only advances fundamental science but also supports the development of related industries, paving the way for next-generation communication technologies and high-performance computing devices.ProgressIn this paper, we focus on an overview of commonly used materials, integration techniques, and application examples in heterogeneous integrated photonics for multi-material systems. In the first section of the review, we briefly describe current bottlenecks in conventional single-material system photonic integration technology. Then, to solve this, heterogeneous integrated photonics technology based on multi-material systems has emerged. To better understand the properties of various photonic integrated materials, we summarize their physicochemical properties as well as material characteristics in the second section, which includes group Ⅳ materials (Si, Ge), group Ⅲ-Ⅴ compound semiconductors, silicon nitride, lithium niobate, two-dimensional materials, and phase change materials. The relevant material parameters are summarized in Table 1. In the third section, we present four heterogeneous integration technology tools, namely inter-chip hybrid integration, wafer bonding, micro-transfer printing, and monolithic integration. The process flow diagram is shown in Fig. 5. The comparison of the four technologies is presented in Table 2. The focus of this review is on the fourth section. During the last decade, heterogeneous integrated photonics for multi-material systems has been applied in various types of photonic devices: 1) Waveguide and passive devices: On-chip optical waveguides and passive components are among the most important components of devices and systems in integrated optics. They are used for device interconnections and information multiplexing and processing, and the overall system performance greatly depends on their basic characteristics. Some recent research on on-chip heterogeneous integrated optical waveguides and passive devices is summarized in Figs. 9 and 10. Heterogeneous integration reduces the complexity of the etching process while expanding the functionality of optical waveguides and passive devices. 2) On-chip lasers: Materials such as silicon, silicon nitride, and lithium niobate lack efficient light sources due to their indirect bandgap. Therefore, to realize integrated on-chip lasers, it is often necessary to introduce other semiconductor materials with a direct bandgap, such as Ⅲ-Ⅴ compound semiconductors, as a gain medium. Several schemes for integrated lasers have been demonstrated, including hybrid integration based on advanced packaging, heterogeneous integration based on wafer bonding, micro-transfer printing, and monolithic integration (Fig. 11). 3) On-chip electro-optic modulators: Electro-optic (EO) modulators play a crucial role in converting high-speed signals from the electrical domain to the optical domain, serving as essential components in long-haul optical communication, microwave photonics, and lidar. By introducing heterogeneous materials with various advantageous properties, the heterogeneous integration approach is worth considering for improving the performance of conventional modulators. For example, integrating germanium or two-dimensional materials on silicon-on-insulator (SOI) platforms enables modulators with high bandwidth [Fig. 12(a)], high linearity [Fig. 12(b)], and low power consumption by utilizing the electro-absorption effect. Pockels modulators, which change the refractive index of the waveguide through an applied voltage, are more suitable for signal modulation in higher-order modulation formats. Lithium niobate wafers can be bonded to planarized optical waveguides via wafer bonding, achieving excellent performance with an EO bandwidth greater than 110 GHz and a half-wave voltage of 3.1 V·cm [Fig. 12(e)]. 4) On-chip photodetectors: An on-chip photodetector (PD) plays a pivotal role in PICs by converting optical signals into electrical ones. The indirect bandgaps of silicon (1.12 eV), silicon nitride (5 eV), and lithium niobate (3.9 eV) are not sufficient for direct absorption and detection in the near- and mid-infrared wavelength ranges. To address this issue, various alternative materials such as germanium, Ⅲ-Ⅴ compound semiconductors, and two-dimensional materials (Fig. 13) are introduced via heterogeneous integration to enable effective absorption at the desired wavelengths. 5) On-chip photonic integrated systems: Optical phased arrays (OPA), microwave photonic systems (MWP), optical frequency combs (OFCs), optical neural networks (ONN), and other function-specific photonic devices or systems can be classified as on-chip photonic integrated systems. These systems are realized by integrating multiple optical functional components (e.g., lasers, modulators, waveguides, passive devices, detectors, etc.) onto a single chip. Heterogeneous integration promotes the evolution of photonic integrated systems toward multifunctionality, high integration, wide bandwidth, and low cost.Conclusions and ProspectsIn summary, compared to existing single-material photonic integration technology, heterogeneous integration based on multi-material systems can significantly improve device performance and broaden system functionality by making full use of the advantages of different materials. However, several critical issues remain to be addressed. Future development of heterogeneous integrated photonics based on multi-material systems will require careful trade-offs between fabrication cost, device performance, process compatibility, and device size. We believe that multi-material heterogeneous integrated photonics is poised to drive rapid advancements in optical communication, computing, microwave photonics, and lidar.
SignificanceLarge-scale computational models have emerged as the core engine driving the development of emerging industries such as artificial intelligence, signal processing, and multimodal interaction. To support the rapid advancement of these high-performance computational models, there is an escalating demand for corresponding large-scale computing hardware platforms with enhanced performance capabilities.However, as Moore’s Law approaches its physical limits and semiconductor fabrication processes enter the nanometer scale, various fundamental physical constraints have led to a gradual slowdown in the growth of computational power in traditional electronic chips. Additionally, the inherent bandwidth limitations and power consumption issues associated with electrical signal transmission hinder further large-scale expansion of traditional electronic computing architectures. Consequently, the search for higher-performance hardware substrates for computational models, along with overcoming the intrinsic limitations of conventional computing architectures, represents a critical technological challenge in the ongoing evolution of the information industry.In contrast to traditional computing architectures that utilize electrical hardware for computation and signal transmission, integrated photonic platforms leverage optical signals for data processing and communication, offering significant advantages in terms of power efficiency, latency, and parallelism compared to their electronic counterparts. In recent years, the integration of photonic chips with electronic hardware to realize hybrid optoelectronic computing architectures has become a major research focus in both academia and industry. Within this transition from purely electronic to hybrid optoelectronic computing hardware, integrated photonic computing chips—which utilize light as the primary computational medium to perform large-scale computations directly in the optical domain—are considered a leading candidate for the next-generation computing paradigm. By exploiting the linear propagation properties and diverse nonlinear effects of light, integrated photonic computing platforms can implement various logical functionalities and construct high-performance computing architectures with exceptional throughput.This review comprehensively discusses the key technologies underlying integrated optoelectronic computing chips, including linear matrix computation, nonlinear activation mechanisms, and computational model deployment methodologies, and while also surveying their current applications across different domains. Finally, we discuss the existing challenges and future development trends in integrated optoelectronic computing chips.ProgressThis article comprehensively reviews the research progress and challenges in integrated optoelectronic computing chips. First, this review begins by delineating the evolutionary trace from traditional electronic computing substrates to integrated optoelectronic computing chips (Fig. 1). Second, it discusses key technical pathways in integrated optical computing, including on-chip arrays for optical linear computation, nonlinear activators for analog optical signals, and hardware-aware training methodologies for computational model hardware deployment. Subsequently, it summarizes existing implementation approaches for on-chip optical linear computation arrays, such as coherent-architecture-based on-chip Mach-Zehnder interferometer (MZI) meshes, wavelength division multiplexing (WDM)-technology-based on-chip micro ring (MRR) arrays, and on-chip diffractive unit arrays (Figs. 2?4). For nonlinear activators, the review systematically analyzes two specific implementation strategies: optoelectronic hybrid nonlinear activators (Fig. 5) and all-optical nonlinear activators (Fig. 6). For hardware training methods targeting computational model deployment, the discussion categorizes them into three types (Fig. 7): offline weight decomposition, online backpropagation, and heuristic algorithms. Furthermore, this review conducts a detailed analysis of current developments in integrated optoelectronic computing chips across diverse application domains, covering their roles as hardware substrates for high-performance computing models (Fig. 8) and as novel signal processing modules in application-specific scenarios (Fig. 9). Finally, this review synthesizes all mentioned technical pathways (Fig. 10) and discusses prevailing challenges alongside future development trends in integrated optoelectronic computing chips.Conclusions and ProspectsIntegrated optoelectronic computing chips leverage the intrinsic advantages of optical signals, including ultralow latency, minimal power consumption, and massive parallelism, to deliver unprecedented computational performance surpassing conventional electronic computing systems. This review provides a systematic examination of critical technological pathways in integrated optoelectronic computing. As an emerging computational paradigm, the underlying technologies encompass multidisciplinary domains spanning novel materials, advanced chip fabrication processes, innovative device architectures, and sophisticated algorithm-hardware co-optimization strategies. Driving progress in integrated optical computing necessitates comprehensive optimization across both hardware and algorithmic dimensions, particularly in scaling reconfigurable linear computing arrays, developing high-performance nonlinear activation modules, and implementing complex computational models directly in hardware. Moreover, advancing toward large-scale computational architectures also demands breakthroughs in co-packaging and interconnection schemes for optical/electronic modules, standardized interface protocols with modular designs, and efficient compilation/invocation methodologies for computational models. These synergistic advancements will facilitate the further combination of integrated optoelectronic computing chips with complementary optoelectronic transmission and control modules, ultimately establishing a robust computational ecosystem with diverse applications in AI acceleration, 6G communications, and quantum simulation systems.
SignificanceThe exponential growth of artificial intelligence (AI) large models, such as ChatGPT and DeepSeek, has catalyzed unprecedented demand for high-performance computing infrastructure. Projections indicate China’s intelligent computing power will reach 1037.3×1018 by 2025, with global private investments in AI infrastructure surpassing 2 trillion RMB in 2024. Traditional electrical interconnections, however, encounter fundamental limitations in power consumption and transmission distance (typically below several meters), proving inadequate for large-scale AI cluster expansion involving millions of accelerator processing units (XPUs). Optical interconnections present advantages in latency, transmission distance, and bandwidth density, positioning them as essential enablers for next-generation AI computing capabilities. Co-packaged optics (CPO) technology, which integrates high-density optical engines with switching chips or XPUs on a shared high-speed substrate, significantly reduces electrical signal paths and power consumption. Silicon photonic technology, compatible with complementary metal-oxide-semiconductor (CMOS) processes, enables monolithic integration of photonic and electronic devices, making silicon-based micro-ring optical engines central to CPO development due to their compact footprint, energy efficiency, and wavelength selectivity for wavelength division multiplexing (WDM).ProgressMulti-wavelength lasers: These are essential for WDM-enabled high-density interconnects. Key solutions include distributed feedback (DFB) laser arrays, comb lasers, and mode-locked lasers. Ayar Labs’ 2024 16-channel SuperNova laser [Fig. 2(a)] complies with the CW-WDM MSA standard, delivering 200 GHz-spaced wavelengths with 2 dBm power at 55 ℃. Intel achieves 16 wavelengths with only 4 lasers via multi-period gratings [Fig. 2(b)], while Innolume’s quantum dot Fabry?Perot comb laser [Fig. 2(e)] supports 24 channels at 100 GHz spacing. The quantum dot mode-locked laser by Institute of Physics, Chinese Academy of Sciences [Fig. 2(f)] demonstrates 4-channel 100 Gbit/s transmission at 80 ℃.Micro-ring modulators (MRMs): Compared to Mach?Zehnder modulators (MZMs), MRMs offer micron-scale size and low power consumption, ideal for CPO. Innovations in junction designs (lateral, L-shaped, vertical) have significantly improved performance. The lateral-junction MRM by Xi’an Institute of Optics and Precision Mechanics, Chinese Academy of Sciences [Fig. 3(d)] achieves >67 GHz bandwidth and 256 Gbit/s PAM4 transmission rate. TSMC’s 65 nm silicon photonics process enables MRMs with 76 GHz bandwidth and 0.35 V·cm efficiency (Table 2). Thermal tuning systems (Fig. 4) using resistive heaters and closed-loop feedback address wavelength drift from fabrication errors and temperature fluctuations, with ETH Zurich’s demonstration achieving 10 pm tuning precision [Fig. 4(d)].PhotodetectorsGermanium (Ge)-on-silicon detectors overcome silicon’s transparency to infrared light. Huazhong University of Science and Technology’s U-shaped electrode vertical PIN detector [Fig. 5(b)] reaches 103 GHz bandwidth with 1.3 nA dark current. Germany’s IHP develops a fin-shaped lateral PIN detector [Fig. 5(c)] achieving 265 GHz bandwidth by optimizing carrier transport (Table 4).WDM and polarization handlingMicro-ring filters enable compact WDM demultiplexing. The University of British Columbia demonstrates that second-order dual-ring filters surpass single rings in crosstalk (-15 dB) and channel count (31×50 GHz). Polarization-insensitive receivers [Fig. 6(a)] and polarization diversity structures [Fig. 6(b)] address random polarization in fiber links.Analog electronic chipsCMOS-driven MRMs reduce power consumption. Intel’s 28 nm driver with nonlinear equalization [Fig. 7(b)] supports 112 Gbit/s PAM4 with 5.8 pJ/bit efficiency. AMD’s 7 nm transimpedance amplifier (TIA) [Fig. 8(d)] achieves 0.96 pJ/bit (Table 6).Packaging technologies2.5D integration [Fig. 9(a)] employs interposers for high-density interconnects, while fan-out wafer-level packaging (FOWLP) [Fig. 9(b)] provides flexibility for CPO. 3D integration with through-silicon vias (TSVs) [Fig. 9(c)], as demonstrated by TSMC’s CoWoS process, targets 6.4 Tbit/s engines by 2026. Optical coupling technologies include IBM’s reflow-compatible polymer waveguide [Fig. 11(a)] and Intel’s pluggable glass waveguide connector [Fig. 11(b)], achieving <3 dB insertion loss.Conclusions and ProspectsSilicon-based micro-ring optical engines have demonstrated substantial progress, with components achieving performance requirements of 100?200 Gbit/s per channel. Several critical challenges persist: enhancing thermal tuning stability for multi-channel WDM, improving packaging yield in the presence of photonic process variations, and maintaining optical connector reliability under thermal stress. Future development trajectories include advancing single-channel speeds to 200 Gbit/s and optimizing compatibility with Universal Chiplet Interconnect Express (UCIe) interfaces. The standardization initiatives led by OIF and COBO remain essential for addressing protocol fragmentation. Given the continued advancement in integration density, power efficiency, and manufacturing scalability, micro-ring-based CPO demonstrates significant potential to enhance next-generation artificial intelligence and high-performance computing infrastructure.
SignificanceWith exponential data growth driven by cloud computing, artificial intelligence, and big data, optical interconnects are gradually replacing traditional electrical interconnects in data centers and chip-to-chip communication systems. Among the core components of optical interconnects, photodetectors (PDs) are responsible for the crucial optical-to-electrical signal conversion. The performance of photodetectors directly determines the data transmission rate and system efficiency. Germanium-silicon photodetectors (Ge/Si PDs) have attracted considerable attention for their high responsivity, broad bandwidth, and complementary metal oxide semiconductor (CMOS) compatibility, making them highly suitable for large-scale integration and low-cost fabrication. Despite extensive efforts, the frequency response of Ge/Si PDs has become a critical bottleneck in achieving ultrafast signal processing and Tbit-level data transmission. As integrated photonics advances toward higher speeds and greater density, there is an urgent need to overcome the physical and structural limitations of Ge/Si PDs. Key challenges include minimizing carrier transit time, reducing RC time constants, and enhancing bandwidth without compromising responsivity or increasing dark current. Innovations such as lateral PIN (LPIN) and vertical PIN (VPIN) architectures, gain peaking techniques, and hybrid material integration have been explored to push device performance boundaries.ProgressWe systematically review the structural designs and physical mechanisms affecting the high-speed performance of Ge/Si PDs, including discussions on both waveguide-coupled and normally incident structures (Figs. 1?5). To improve frequency response, strategies focus on three major physical bottlenecks: 1) reducing carrier transit time by optimizing the intrinsic region thickness and electric field distribution; 2) lowering RC time constants through device miniaturization and low-capacitance design; 3) enhancing gain peaking using LC resonance circuits (Fig. 5). Waveguide-coupled structures have become the dominant design due to their high efficiency and strong integration potential. LPIN structures, in particular, have demonstrated bandwidths exceeding 265 GHz (Table 1), with optimized doping profiles and lateral carrier collection paths minimizing transit delays. In contrast, VPIN designs offer better stability and manufacturability, but their vertical carrier transport path limits the achievable speed. Gain peaking, achieved using on-chip spiral inductors or off-chip wire bonding, has proven effective in extending the 3-dB bandwidth without significantly influencing dark current or responsivity. Devices using this method have achieved bandwidth improvements of up to 103 GHz (Fig. 7), validating the feasibility of external circuit compensation. Recent studies have also addressed trade-offs among speed, responsivity, and noise. For instance, LPIN devices achieve high speed at the cost of elevated dark current density, while VPIN devices generally maintain lower dark current at moderate speeds. Design efforts such as minimizing optical loss through metal contact optimization and improving absorption using Bragg reflectors or micro-resonators have further enhanced responsivity. In application, Ge/Si PDs have been successfully integrated into 3D optoelectronic transceivers for 800 Gbit/s transmission [Fig. 10(a)], photonic neural networks [Fig. 10 (b)?(c)], and millimeter-wave wireless communication systems [Fig. 10(d)]. Their role in emerging optical computing and microwave photonic systems highlights their strategic importance in future data infrastructure.Conclusions and ProspectsIn this paper, we present a comprehensive review of the current status and key challenges in the development of Ge/Si PDs for high-speed, high-capacity integrated photonics. It covers structural types, physical limitations, and performance evolution. By analyzing carrier transit time compression, RC constant optimization, and gain peaking strategies, we reveal the influence of different architectures, such as waveguide-coupled LPIN and VPIN on bandwidth, dark current, and responsivity. With their high responsivity, ultra-wide bandwidth (up to 265 GHz), and CMOS compatibility, Ge/Si PDs have become core components in optical interconnects, photonic computing, and microwave photonic systems. However, enhancing frequency response often results in reduced responsivity and increased fabrication complexity, representing a critical bottleneck yet to be addressed. Future development of Ge/Si PDs will focus on four key directions: 1) resolving the trade-off between responsivity and bandwidth; 2) suppressing dark current through high-quality material growth and effective passivation; 3) extending spectral response into the mid-infrared range to meet broader application demands; 4) promoting heterogeneous integration with high-performance electro-optic materials to enhance overall system performance. Ultimately, advances in material quality, structural design, and multi-scale integration will drive the development of Ge/Si PDs, supporting the evolution of next-generation high-speed optical communication and optoelectronic systems.
SignificanceArtificial neural network (ANN) is a mathematical model that emulates the structure and function of the biological nervous system in data processing. As a fundamental architecture of artificial intelligence (AI), artificial neural networks are extensively utilized across various domains including image reconstruction, face recognition, speech recognition, and text generation. To address increasingly complex problems, the number of parameters in AI models has grown exponentially, necessitating greater computational resources. As a result, energy consumption for model training and device operation has increased substantially. With Moore’s Law approaching its physical limits, there is a critical need to explore alternative computing paradigms.The emergence of optical (or photonic) computing, which uses optical fields as information carriers and optical devices for computations, represents an innovative and promising approach with the potential to transform multiple aspects of computing and information processing. Optical neural networks (ONNs), classified as analog optical computing, offer a promising solution to overcome computational limitations of traditional electronic hardware. By harnessing the inherent parallelism, high speed, and low latency of light, ONNs demonstrate potential for accelerating AI tasks, enabling ultra-fast image processing, low-power computing, and real-time data handling. Their potential integration with quantum and neuromorphic systems may establish a new frontier in computational science.ProgressThis paper presents a comprehensive review of progress, applications and future challenges associated with optical neural networks. Based on physical realization methods, ONNs can be categorized into three typical frameworks: diffractive optical neural networks (DONNs), on-chip waveguides optical neural networks (OCONNs) and optoelectronic neural networks. Initially, this review examines multiplexing methods and linear optical matrix-vector multiplication (MVM) for ONNs. In optical systems, encoding input information through distinct orthogonal optical states represents an effective approach to enhance data processing efficiency. Large models typically require substantial data throughput at the input stage. Optical systems inherently possess multiple degrees of freedom (DOFs)?including wavelength, spatial mode, and polarization state?enabling parallel processing of high-dimensional datasets. Optical multiplexing encompasses wavelength division multiplexing (WDM), space division multiplexing (SDM), time multiplexing and other methods (Fig. 2).The linear transformations in neural networks are fundamentally reducible to MVM. Optical MVM has reached maturity, capable of achieving both linear weighting and linear convolution. Optical MVM (Fig. 3) can be implemented through diffractive units, such as spatial lights modulators (SLMs) and metasurface, or through waveguides, such as Mach-Zehnder interferometers (MZIs) and micro-ring resonators (MRRs). The review then addresses methods for optical nonlinearity (Fig. 4). While nonlinear effects are widely utilized, activating them requires high light intensity, creating a fundamental conflict with ultra-low-power objectives in photonic computing implementations. Research priorities include developing lower threshold nonlinear effects, where quantum interference mechanisms can amplify nonlinear optical responses under low optical power regimes. Additionally, investigating nonlinear encoding paradigms for linear systems presents a crucial developmental pathway for energy-efficient optical computing systems. Nonlinearity can be introduced into a linear physical system through specific encoding strategies for input or transfer matrix, offering a novel approach for nonlinearity realization. The paper analyzes two typical training methods: in silico training and in situ training. In silico training involves deploying trained parameters directly to optical devices (Fig. 5). In situ training integrates software and hardware rather than maintaining their separation. In-situ training is typically implemented through optical backpropagation (Fig. 6). Additionally, the fully optical forward method and optical spiking process are also employed as alternative training approaches (Fig. 7). The paper examines ONN applications in image processing (Fig. 8), computing acceleration (Fig. 9), telecommunication and quantum simulation (Fig. 10). Image processing represents the most natural application for ONNs. Diffractive neural networks offer a novel paradigm for high-speed image processing. Within optoelectronic neural network architectures, the integration of all-optical layers, mostly based on-chip waveguides, plays a critical role in computing acceleration. The inherent parallelism of optical analog computing enhances system efficiency, bypassing the bottlenecks of conventional digital electronic systems.Conclusions and ProspectsONNs demonstrate significant advancement in both theoretical foundations and practical implementation. While optical neural networks have achieved superior performance in certain applications compared to traditional electronic devices, significant challenges persist—particularly in function diversity, mechanisms, efficient nonlinear activation, and device tunability. Researchers continue to address these challenges, proposing potential solutions.Future research directions will emphasize developing optical neural networks with enhanced computational efficiency, reduced power consumption, improved integration scalability, and superior reconfigurability and generalization capabilities to demonstrate ONN’s potential across broader applications. The concurrent development of dedicated ONNs, general-purpose ONNs, hybrid optical-electronic neural networks, and all-optical neural networks remains essential. Furthermore, in-situ training will be crucial in advancing scalable training processes for ONNs.Through the integration of optics, material science, computer science and related disciplines, combined with comprehensive utilization of AI tools, we anticipate the emergence of an era characterized by high-performance, general-purpose optical computing.
SignificanceTraditional imaging technology, based on direct intensity detection, is constrained by the intrinsic mechanisms of the photoelectric effect and detector fabrication processes. This presents significant bottlenecks in achieving key performance indicators such as high resolution, high sensitivity, and a high signal-to-noise ratio. In particular, the spatial resolution and space-bandwidth product of the acquired image have long been limited by the detector’s pixel size and physical device scale. In recent years, computational imaging has emerged as a new paradigm that integrates front-end spatio-temporal encoding and modulation with back-end digital computational inversion. It offers innovative solutions to overcome the numerous limitations of traditional imaging techniques and represents the future direction of advanced optical imaging. We systematically review the research progress of pixel super-resolution imaging techniques based on spatio-temporal encoding. Focusing on modulation strategies at the aperture and focal planes, we elucidate the mechanisms of high-dimensional light field modulation and their corresponding back-end image reconstruction algorithms. These two aspects work in synergy to break the detector’s Nyquist sampling limit, enabling the effective decoupling and restoration of sub-pixel spatial information. While maintaining system compactness and high light-throughput efficiency, these technologies significantly enhance the spatial resolution and space-bandwidth product of the imaging system, providing novel solutions and key technological support for demanding applications such as long-range, wide-field-of-view, and high-precision optoelectronic detection and recognition in complex environments.ProgressModulation of amplitude or phase at the aperture plane is one of the key technical paths to achieving pixel super-resolution imaging. By introducing a programmable or fixed mask pattern at the aperture, the wavefront information is modulated, thereby changing the system’s point spread function. This allows high-frequency information to be encoded in a specific manner and multiplexed within the sampling process of multiple low-resolution frames. Combined with reconstruction algorithms, a high-resolution image that surpasses the Nyquist sampling limit can be recovered from this encoded low-resolution data, effectively enhancing the spatial resolution of the imaging system. Compared to amplitude encoding, phase encoding is a modulation scheme with a higher signal-to-noise ratio. A phase mask modulates the wavefront phase by introducing spatially varying optical path differences without attenuating the incident light energy. Therefore, it can achieve a higher image signal-to-noise ratio under the same conditions as amplitude encoding. Metasurfaces, with their sub-wavelength unit structures, leverage specific physical mechanisms to precisely and discretely manipulate the phase, amplitude, and polarization of the light field, enabling wavefront modulation at an ultra-thin scale that is difficult for traditional optical elements to achieve. Their essence is to directly expand the available degrees of freedom of the system at the aperture plane, reallocating the transmission channel capacity within the framework of “space-bandwidth product control” to realize wavefront encoding-decoding multiplexing. Since the size of their unit structures is comparable to or even smaller than a detector pixel, these devices are a natural fit for encoding strategies that enhance spatial resolution, such as “pixel-level encoding” and “sub-pixel displacement,” providing a novel hardware platform for breaking the Nyquist sampling limit. The aforementioned encoding devices at the aperture plane, whether traditional amplitude/phase encoders or new devices like metasurfaces, all offer feasible paths to increase the effective Nyquist sampling rate and reduce system volume, holding the promise of further unleashing the potential of pixel super-resolution within future spatio-temporal encoding computational imaging frameworks.In the computational optical imaging chain, the focal plane is the critical location where the photodetector performs photoelectric conversion, transforming an optical image into a digital signal. Through fine-grained modeling and control of the detector’s spatial sampling characteristics, spatio-temporal encoding techniques at the focal plane can encode and reconstruct sub-pixel level light field information via on-chip and pixel-level modulation at the end of the imaging chain. Classic focal-plane spatio-temporal encoding techniques for pixel super-resolution include micro-scanning. This technique introduces sub-pixel relative displacements between images on the system’s focal plane and uses the detector to record the corresponding intensity information at different sub-pixel sampling positions at different time, thereby achieving spatio-temporal modulation. This sequence of spatio-temporally encoded low-resolution images implicitly contains high-frequency information beyond the Nyquist sampling limit, providing crucial prior information for subsequent super-resolution reconstruction. Based on the driving method used to generate the sub-pixel shifts, micro-scanning is typically categorized into active and passive techniques. Beyond the technical route that relies on the micro-motion of a single sensor to acquire sub-pixel displacements, another parallel and efficient strategy is the use of camera array systems. By integrating multiple low-resolution detector units, these systems can simultaneously capture multiple low-resolution images of the same scene in a single exposure. Due to the fixed physical spatial offset between the imaging units, the captured frames contain inherent sub-pixel relative shift information. In addition to using micro-scanning devices, spatio-temporal encoding can also be achieved using programmable devices such as digital micromirror devices (DMDs) or spatial light modulators (SLMs). These devices project a series of pre-set or dynamically generated spatially structured encoding patterns onto an intermediate image plane or a plane conjugate to the object/image, enabling precise encoding modulation. The dynamic programmability of these devices allows for real-time and point-by-point changes to the amplitude and phase of the light field at the focal plane, enabling sophisticated optical encoding of the target scene and increasing the overall information content and efficiency of the imaging system’s encoding.Alternatively, a fixed encoding mask can be placed in front of the focal plane to encode the incident light field. Compared to programmable active devices, it is passive and low-power, has a simpler system structure, and possesses the potential for real-time imaging. However, while on-chip encoding modulation can effectively enhance the system’s spatial resolution, it inherently does so by reducing the detector’s light energy efficiency in exchange for improved information acquisition in the spatial dimension. To avoid the light-throughput loss introduced by encoding masks, modulated images with a high signal-to-noise ratio can be obtained by altering the detector’s spatial sampling method. Compared to traditional sensors with a regular and periodic layout, constructing a detector with a non-periodic and translationally asymmetric sampling grid allows for the sampling of more diverse spatial frequency components during image acquisition, providing a new means of information modulation for super-resolution imaging.Conclusions and ProspectsOverall, pixel super-resolution imaging technology based on spatio-temporal encoding and modulation breaks the limitations of traditional imaging in resolution, sensing dimensions, and information throughput by leveraging flexible encoding in the physical domain and efficient decoding in the digital domain. This is essentially a strategy of “information exchange” or “space-bandwidth product control,” where, in adherence to physical laws, information in certain dimensions is sacrificed to enhance performance in target dimensions or to enable the perception of new dimensions. Looking forward, “new architectures, new devices, and new methods” will mutually reinforce one another. Through joint multi-dimensional information encoding and intelligent decoding, they will further expand the boundaries of perception, enabling the capture of higher-dimensional and finer information about the objective world and advancing imaging technology from “seeing clearly” to “understanding”. Although challenges in data processing, algorithm generalizability, system complexity, and cost still lie ahead, computational imaging, by virtue of its unique “physics-computation” synergy, is certain to exhibit ever-broader application prospects in numerous fields, including scientific research, industrial inspection, medical diagnostics, consumer electronics, and even national security, and it will continue to drive the iterative innovation of optoelectronic imaging technology.
SignificanceVision represents a fundamental channel for human information acquisition, with display technology serving as a critical medium for visual information transmission. The evolution of display technology has progressed from black-and-white to color display, enhancing both information density and visual experience. In the pursuit of more immersive visual experiences, significant advancements have been made in three-dimensional (3D) display technologies. Holographic displays, which can reconstruct the complete complex amplitude of light waves, provide comprehensive depth cues required by human vision. Consequently, these displays are regarded as one of the optimal implementations of true 3D displays, offering substantial potential applications in virtual reality (VR), augmented reality (AR), and holographic communication.Optical holography enables 3D display through recording interference patterns between object and reference waves on a recording medium. The original object wavefront can be reconstructed through diffraction when the recorded hologram is illuminated by the identical reference wave. Computer-generated hologram (CGH) simulates the diffraction process from object wave to hologram plane computationally, avoiding the complexities of optical recording. The complex amplitude on the hologram plane is encoded into a hologram using a specified reference wave and encoding algorithm. Holographic display is achieved by loading the CGH onto a spatial light modulator (SLM) to modulate incident light, thereby reconstructing the desired object wavefront. CGH offers advantages over optical holography by eliminating strict recording requirements and enabling dynamic 3D scene generation. This has established CGH as a fundamental approach for dynamic 3D holographic displays and a primary research focus in 3D display technology.However, traditional CGH algorithms encounter several challenges. The simulation of diffraction processes from object to hologram plane requires intensive computational resources. Additionally, quality optimization methods typically necessitate multiple iterations, impeding real-time generation. While CGH primarily applies to virtual 3D scenes, generating holograms of real-world objects remains problematic. Disparities between CGH and actual optical devices can compromise experimental quality. The challenge of achieving real-time, high-quality CGH generation has substantially restricted the practical implementation of holographic display technologies. These constraints have limited CGH's practical applications and remain difficult to resolve using conventional algorithms.In recent years, incorporating deep learning into CGH has produced notable advancements in both generation speed and quality. Progress has also been achieved in hardware integration and adapting CGH to various application scenarios. Deep learning enables the generation of real-time, high-quality CGH, addressing the limitations of traditional algorithms. Therefore, synthesizing existing research is essential to guide the future development of learning-based CGH.ProgressThe CGH generation algorithms based on deep learning are summarized (Fig. 3). In terms of neural network architecture optimization, researchers have enhanced the feature extraction capabilities and incorporated optical physical principles to expand the receptive field and improve the physical interpretability of neural networks (Fig. 6). Specifically, using complex-valued neural networks has improved both the speed and quality of CGH generation. Regarding dataset and loss function design, the combination of multiple loss functions and the use of depth-of-field rendering for supervision have led to enhanced quality (Fig. 7). Manually generated datasets have also reduced the difficulty of data acquisition and improved the generalization ability of neural networks. For joint hardware optimization, real optical reconstructions captured by cameras have been used to guide the network in learning the discrepancies between ideal diffraction models and real-world light propagation (Fig. 8). By leveraging deep learning, the adverse effects of practical imperfections—such as non-uniform light sources—have been significantly mitigated, leading to substantial improvements in experimental reconstruction. For real-world CGH generation, depth information is obtained using deep learning-based depth estimation techniques. And CGH can be directly generated by combining deep learning with various data acquisition methods (Fig. 9). Learning-based CGH generation enables real-time synthesis of holograms for real-world scenes, greatly promoting practical applications of holographic displays. In terms of hologram compression and adaptation, deep learning approaches have enabled both compression and decompression of holograms, and many algorithms are proposed to flexibly controlling parameters such as reconstruction size and depth (Fig. 10). In the end, existing challenges and future research directions are discussed, including improvements in performance and efficiency, enhancement of physical interpretability, and advancement toward practical applications.Conclusions and ProspectsLearning-based methodology has emerged as a promising approach for addressing the limitations of traditional CGH algorithms and has become a significant research focus. Current approaches demonstrate substantial improvements in generation speed and quality, while various optimization strategies continue to be explored. Additional research remains necessary to enhance learning-based CGH algorithms regarding generation efficiency, physical interpretability, and adaptability to real-world scenarios. Learning-based CGH generation algorithms represent a crucial direction for advancing CGH technology, though further development is required for practical applications.
SignificanceAugmented reality (AR), as an emerging technology bridging the virtual and real worlds, has demonstrated significant potential and adaptability in various fields such as education, industry, healthcare, and security. In contrast, AR places more emphasis on blending virtual and real environments. With advancements in image recognition and mobile computing, AR has expanded from specialized industrial applications into the consumer electronics domain. Modern AR devices have progressed beyond basic information overlay, now supporting spatial awareness, real-time artificial intelligence (AI) interaction, and three-dimensional (3D) image reconstruction. With the pursuit of high-quality fusion experiences in AR technology, near-eye 3D display systems face numerous challenges, including lightweight design, high resolution, and wide field of view.Limited by volume, chromatic aberration, and wavefront modulation capability, traditional geometric optical components fail to on-demand needs. As a representative of optical and photonic elements, metasurfaces offer a novel approach for AR display systems due to their subwavelength modulation capability and high integration. With the ability to manipulate light’s phase, polarization, and amplitude at subwavelength scales, metasurfaces offer multi-degree-of-freedom control within a single layer. In recent years, they have shown great promise in AR display applications, particularly in 3D light field generation, offering significant advantages in high resolution, full-color imaging, and wide field of view. These features position metasurfaces as a compelling solution for next-generation AR display technologies.ProgressRecent advances in AR 3D light field displays based on metasurfaces are summarized. First, two main technical routes—metasurface-integrated integral imaging and holographic display—are introduced. In the metasurface-integrated integral imaging pathway, a compact full-color 3D display system is first demonstrated using a visible broadband achromatic metalens array, which achieves accurate depth cues and consistent color reproduction. Subsequently, the system is further developed by integrating commercial microdisplays with nanoimprinted metalens arrays, resulting in a lightweight AR module with 400×400 effective resolution and real-time elemental image rendering at 67 frame/s through a precomputed lookup table (LUT) method. In the holographic display route, high-quality computer-generated holography (CGH) is realized using analytical diffraction algorithms based on triangular mesh modeling. This is combined with tiled and time-division spatial light modulator (SLM) configurations to expand the field of view and provide accurate monocular depth cues. More recently, a full-color holographic AR system is demonstrated using inverse-designed full colour metasurface gratings and waveguide-based dispersion compensation. This system achieves high diffraction efficiency, color uniformity, and over 78% see-through capability, while incorporating neural-network-based CGH optimization to enhance image fidelity and enable near real-time hologram generation. Finally, current limitations and future directions are discussed, including challenges in large-area fabrication, chromatic aberration correction, resolution enhancement, and the integration of high frame rate 3D content for immersive AR applications.Conclusions and ProspectsMetasurfaces are emerging as a promising solution for next-generation AR 3D light field display systems. In summary, although significant progress has been made in integrating metasurfaces into microdisplays and optical combiners, challenges remain in balancing system compactness with high performance. Further efforts are required in multi-scale simulation, large-area fabrication, and dispersion management to fully unlock the potential of metasurfaces in achieving wide field of view, high resolution, and true 3D imaging. With advances in materials science, intelligent manufacturing, and cross-disciplinary integration, metasurface-enabled AR 3D display technology is expected to play a vital role in future immersive visual experiences across various application scenarios.
SignificanceAs creatures in the three-dimensional world, human beings mainly observe it through their eyes. When the human eye observes objects, there is parallax, which the brain can synthesize to perceive information with spatial depth. In computer graphics, graphic rendering can convert objects in 3D space into images on a 2D screen through model views and perspective transformations. Due to the effect of objects appearing larger when closer and smaller when farther away produced by perspective transformation, as well as the occlusion and shadows between objects, combined with the prior knowledge of the human brain, people can still sense the depth of objects when watching 2D screens. However, from the perspective of information, compressing the information of the 3D world onto a 2D screen for display still leads to information loss. This will also lead to perceptual deviations in the human eye during observation, and at the same time, it is difficult to break through the limitations of 2D display to directly obtain depth information. In principle, unlike 2D displays, 3D light field displays can provide viewers with information from multiple viewpoints and the correct front-back relationships between objects.With the advancement of display technology, 3D display technology has also made significant progress. At present, commercially available 3D display technologies can mainly be classified into assistive 3D displays that require wearing external devices and naked-eye 3D displays that do not require external devices. Among them, the greatest advantage of naked-eye 3D display is that viewers can enjoy the 3D display effect without relying on any peripheral devices. At present, naked-eye 3D display technology has made significant breakthroughs both in theory and practice. This direction has not only received extensive attention in the academic circles but also brought many applicable products to the industrial sector, covering various fields such as education, healthcare, national defense, and advertising.In recent years, portrait content generation technology has been a key research focus in the field of graphics and image science. The advantage of high-quality portrait content generation technology lies in its provision of more realistic, personalized, and creative digital experiences for various application fields, promoting the development of virtualization and digital technologies in all aspects of society. Thanks to the rapid development of portrait content generation technology and light field display technology, the application fields that combine portrait content generation technology with 3D light field display technology are very extensive. For instance, in the field of communication, the advantage of light field communication lies in its ability to utilize the characteristics of light field displays to provide callers with a more realistic and immersive communication experience. In the field of education, 3D light field display can present object models with correct occlusion relationships, helping learners obtain correct spatial information when observing. In the field of cultural media, 3D light field display can be applied to the production and display of cultural relics or advertisements, providing viewers with a more vivid three-dimensional effect. Therefore, 3D light field portrait display has an urgent application demand and broad market prospects in fields such as communication, education, and industry. Combining 3D light field display with portrait content generation technology will become the main development direction in the future. Enhancing the wide application of 3D portraits in 3D light field display is mainly achieved through two aspects: the realism of portrait content and computational efficiency. Therefore, this paper reviews the content generation technology of portrait scenes used in 3D light field display.ProgressThree-dimensional light field display technology, through the reconstruction of multi-angle light field information, provides a highly immersive visual experience for the remote presentation of three-dimensional human figures. Its effect is highly dependent on the generation of high-quality three-dimensional human figure content. This paper studies the 3D portrait content generation technology for 3D light field display, summarizes the technological progress based on traditional modeling and deep learning (Fig. 1), and points out the significant advantages of deep learning-based methods in the generation of dynamic portrait continuous viewing angles. The advantages and disadvantages of typical 3D portrait content generation methods in recent years and the quality of the generation results are summarized and compared (Table 1). The specific applications of 3D portrait generation technology in light field display are analyzed, mainly including the optimization of light field portrait re-illumination and the driving of rapid reconstruction. Among them, portrait re-illumination mainly focuses on the portrait re-illumination technology based on parameter combination (Fig. 6) and the portrait re-illumination technology based on network reasoning (Fig. 8). The rapid reconstruction driver of human figures mainly focuses on the generation technology of human figure content based on voice drive (Fig. 10) and parametric drive (Fig. 12). The method based on deep learning can not only reduce the cost of traditional modeling but also ensure a lower reconstruction error. Moreover, the re-illumination of human figures and the real-time reconstruction driving technology significantly enhance the realism and interactivity of the three-dimensional light field content. Future research needs to continue to deepen exploration in aspects such as high resolution and real-time generation of a large number of viewpoints.Conclusions and ProspectsCentering on the requirements of 3D light field display, this paper introduces two technologies for generating 3D portrait content for three-dimensional light field display. Static portrait generation relies on multi-view fusion and neural implicit representation, while dynamic portrait generation is expressed through the combination of deformation networks and explicit Gaussian distribution. Both have made significant progress in terms of accuracy and efficiency. In the application of three-dimensional light field display, the reillumination technology combined with ambient light estimation optimizes scene adaptability and enhances the realism of the generated content. The rapid reconstruction-driven technology has improved the efficiency of content generation and provided feasibility for real-time interaction. However, the existing technology still faces challenges such as insufficient dynamic detail modeling and the inability to generate a large number of viewpoints in real time. Future research needs to explore lightweight generation algorithms, intelligent frame interpolation and super-resolution algorithms, to promote the wide application of 3D portrait content generation technology in virtual reality, remote 3D light field video communication and other fields.
SignificanceInfrared imaging technology converts invisible thermal radiation into visible images, thus extending the human eye’s spectral range from the visible spectrum (380?780 nm) to long-wave infrared (up to 14 μm), making it possible for the human eye to see clearly at night. This technology has been widely used in security monitoring, military reconnaissance, and intelligent driving. However, thermal infrared images are usually presented in grayscale, and their inherent limitations include blurred edges, low contrast, and poor detail expression. In addition, grayscale images only provide limited brightness information and cannot match the long-term color perception preference of the human visual system. This inconsistency often leads to visual fatigue and reduces the accuracy of target recognition by the human eye. In addition, the limitations of grayscale image information content hinder the direct migration of scene perception models trained based on visible spectrum color images to infrared applications. Infrared image colorization technology enriches image color and structural information, improves the accuracy of night target recognition, and the observer’s visual comfort. Its potential impact is comparable to the historical transition from black and white television to color television, marking an important leap in infrared imaging technology.ProgressThe development of infrared image colorization technology has experienced a transition from pseudo-color to natural color, which are divided into two categories: traditional methods and deep learning methods (Fig. 1). Traditional infrared image colorization methods mainly include pseudo-color methods based on preset mapping, methods based on color transfer, and methods based on multi-spectral fusion. Pseudo-color methods based on preset mapping can simply realize infrared image colorization, but their colors are unnatural (Fig. 3). The color transfer-based method improves the naturalness of the colorized image by selecting a color reference image to color the corresponding semantic target (Fig. 6), but it requires manual participation, and the colorization effect is heavily dependent on the selection of the reference image, lacking adaptability to complex scenes. The colorization method based on multi-spectral fusion generates pseudo-color images using spectral information of different spectral bands. Although it improves the infrared image colorization effect (Fig. 7), it requires multiple detectors to collect data simultaneously, which greatly increases the complexity of the system. In recent years, with the development of deep learning, new solutions have been provided for infrared image colorization. Deep learning-based infrared image colorization methods are mainly divided into two categories: convolutional neural networks and generative adversarial networks. Table 1 summarizes infrared image colorization methods based on deep learning. The convolutional neural network-based method achieves automatic conversion from infrared to color images by learning feature representations in large-scale paired datasets. However, it is difficult for convolutional neural network models to learn complex image distributions, resulting in a lack of authenticity in the generated images. The generative adversarial network-based method generates colorized images that are closer to the labeled image by introducing adversarial training. Although the colorization methods based on deep learning have improved the quality of colorized infrared images, there are still problems such as color distortion in low-contrast areas, limited generalization ability in diverse infrared scenes, and high model computational cost.Conclusions and ProspectsInfrared image colorization technology has narrowed the visual gap between infrared imaging and visible imaging. This paper reviews various methods and their basic principles based on the development history of infrared image colorization technology. Traditional colorization methods rely on prior knowledge or auxiliary images, which makes it difficult to achieve adaptive alignment with semantic information, and their application scope is limited. In recent years, deep learning technology has brought new opportunities for automatic colorization of infrared images, especially GANs have shown significant advantages in enhancing image quality. However, existing deep learning methods still face many challenges. On the one hand, complex networks increase the computational cost and porting difficulty of the colorization model; on the other hand, the performance of the colorization model is constrained by the training data set, and the generalization to multiple scenes is insufficient. Therefore, there is still room for further research on infrared image colorization technology based on deep learning. At the same time, in future research, physical priors (such as the law of thermal radiation) should be combined with deep learning models to integrate multi-source spectral information to improve the robustness of the colorization model. In addition, it is necessary to build a lightweight network architecture to realize the real-time deployment of infrared image colorization models on embedded systems, and to promote the application of infrared imaging technology in practical scenarios such as security monitoring and intelligent driving.
SignificanceMultiband infrared focal plane arrays (FPAs) have broad applications in remote sensing, environmental monitoring, military security, medical diagnostics, food safety detection, and other fields. However, current multiband detectors face several challenges that hinder their widespread adoption and development. Traditional multiband detection systems typically rely on combining multiple single-band detectors to capture spectral information across various wavelengths. These systems are often bulky, require complex optical designs, and involve multiple detection modules, which leads to high costs and low integration. Furthermore, single-band detectors made from materials like HgCdTe, InSb, and InGaAs, while offering high sensitivity and low noise, have limited wavelength ranges and are difficult to tune. As a result, the flexibility and performance enhancement potential of these detectors are constrained.With the increasing demand for smaller, more integrated, and more cost-effective devices, the size and complexity of traditional multiband infrared detectors have become major obstacles. The current designs fail to meet the requirements for compact, efficient, and flexible multiband detection systems. Therefore, there is an urgent need for new technologies that can not only cover multiple infrared wavelength ranges but also offer high integration, simplified optical design, and cost reduction. Advancing such technologies will enable infrared detectors to expand into a broader range of applications and accelerate the development of infrared sensing technologies.ProgressRecent advancements in colloidal quantum dot technology have significantly enhanced the performance and capabilities of multiband infrared FPAs. Key innovations include the development of novel methods for quantum dot synthesis, such as the use of bulk quantum dot coupling, heterojunction bandgap engineering, and planar stacking. These methods allow for the precise control of the quantum dot size and the engineering of their energy band structures, which in turn influence their absorption spectra. Through these techniques, colloidal quantum dots (CQDs) can be designed to respond to a wide range of infrared wavelengths, from the short-wave infrared (SWIR) to the mid-wave infrared (MWIR) regions. Additionally, the integration of optical filters within the device architecture further enhances spectral resolution. Notably, CQDs exhibit high quantum efficiency and tunability, which enable the development of compact, integrated multiband detectors capable of operating across a broad spectral range. The fabrication process of these CQD-based FPAs typically involves the deposition of quantum dot layers via solution-based methods such as spin-coating or inkjet printing, which are both cost-effective and scalable. Moreover, the development of self-assembled monolayers and the incorporation of novel substrates have contributed to the improvement of the mechanical stability and operational lifespan of these detectors. Recent research has also focused on optimizing the electronic properties of CQDs through doping and surface modifications, aiming to further enhance their spectral responsiveness and detectivity.Conclusions and ProspectsThe future of multiband colloidal quantum dot infrared FPAs looks promising, with ongoing efforts to overcome existing challenges related to stability, scalability, and integration into practical systems. The flexibility in spectral tuning offered by CQDs allows for their potential use in a variety of applications, from high-precision environmental monitoring to defense-related infrared imaging. Future research will likely focus on improving the uniformity of the CQD films, enhancing their sensitivity at lower wavelengths, and developing methods for large-scale production. In conclusion, multiband CQD-based infrared FPAs represent a significant step forward in the miniaturization and performance enhancement of infrared detection systems. With continued advancements in material synthesis, fabrication techniques, and system integration, these devices are poised to revolutionize a wide array of industrial and scientific applications.
SignificanceSilicon-based optoelectronic integration (silicon photonics, SiPh) aims to leverage the advantages of optical interconnects, such as high bandwidth, low latency, and low power consumption, to achieve electronic computing with optical data transmission both on-chip and between chips. It serves as an enabling technology for future applications such as chip interconnects, high-performance computing, and AI computing centers. However, the lack of silicon-based on-chip lasers remains the primary obstacle to the development of SiPh. Silicon is an indirect bandgap semiconductor, leading to the inability to emit light efficiently. In contrast, Ⅲ?Ⅴ compound materials (such as GaAs, InP, InAs, and GaSb) are direct bandgap semiconductors, which can be used for the fabrication of high-performance laser sources on Si. Therefore, integrating Ⅲ?Ⅴ materials on Si to realize silicon-based Ⅲ?Ⅴ lasers is an essential step for the development of SiPh.ProgressFor the integration of Ⅲ?Ⅴ lasers on Si, three predominant approaches have been developed over the last decades, namely hybrid integration, heterogeneous integration, and monolithic integration. Hybrid integration couples the pre-fabricated Ⅲ?Ⅴ laser with the silicon photonic passive chip through packaging technology, for the realization of a single SiPh chip. Although this scheme can utilize the existing commercial laser platform for laser fabrication to ensure high performance, the packaging process requires extremely high alignment accuracy, leading to high cost and incompatibility with large-scale integration applications. Heterogeneous integration, such as wafer-bonding technology, can effectively avoid the lattice and polarity mismatch problems between the silicon substrate and Ⅲ?Ⅴ materials, and is relatively mature. This technology has been used in optical module products by companies like Intel. However, the wafer-bonding method still faces challenges such as thermal management at the multi-material interface, high process complexity, and low manufacturing throughput. The micro-transfer printing approach, which is different from wafer-bonding, involves taking the pre-fabricated Ⅲ?Ⅴ laser chips using a polydimethylsiloxane (PDMS) stamp through “pick-up and placement” operations, aligning them with high precision onto the already defined silicon photonic chips, and performing high-temperature annealing to enhance the adhesion between the laser chip and the silicon photonic chip. This technology can define the PDMS stamp as an array, allowing multiple laser chips to be picked up and simultaneously placed on the silicon photonic chip in each micro-imprinting process to meet the requirements of large-scale production. However, this technology also requires high alignment accuracy of the transfer equipment, which will increase the cost. Monolithic integration, by directly growing Ⅲ?Ⅴ materials on Si, is regarded as the truly wafer-scale and low-cost production method for the realization of on-chip light sources for SiPh. Due to the differences in lattice constant, polarity, and thermal expansion coefficient between Ⅲ?Ⅴ materials and Si, epitaxial growth of Ⅲ?Ⅴ materials on Si faces crystal quality issues, including mismatch defects, anti-phase domains (APDs), and thermal cracks. Many approaches have been demonstrated to solve these problems in order to obtain high-quality Ⅲ?Ⅴ epi-layers on Si platforms, which include the use of offcut Si (001) substrates, intermediate buffer layers, annealing processes, and patterned silicon substrates. Strained Ⅲ?Ⅴ dislocation filter layers (such as InGaAs/GaAs) are also grown to decrease the defect density of Ⅲ?Ⅴ materials on Si. To avoid the thermal crack problem, researchers have tried patterned substrates with underlying voids and increased the cooling time after growth to relieve thermal stress. Benefiting from these methods, high-quality Ⅲ?Ⅴ materials with defect density as low as 106 cm-2 and smooth surfaces have been achieved on Si. Based on the material growth, high-performance Ⅲ?Ⅴ lasers with low threshold current, high output power, and long lifetime are grown and fabricated on Si substrates. The selective heteroepitaxy growth method has also been developed to integrate Ⅲ?Ⅴ lasers butt-coupled with silicon passive components (such as silicon waveguides) on the same SiPh platform, which can solve the alignment problem. Moreover, Ⅲ?Ⅴ nano-lasers based on selective lateral epitaxial growth technology have attracted extensive attention recently due to their unique advantages, such as high flexibility and compatibility with SiPh fabrication. Although this method can grow defect-free Ⅲ?Ⅴ on Si by means of defect-trapping structures, the micro-sized Ⅲ?Ⅴ materials cannot support high output power lasing performance and are difficult to realize for electrically pumped applications. However, this method demonstrates strong technical potential and application prospects in the fabrication of Ⅲ?Ⅴ photodetector arrays.Conclusions and ProspectsAs the core component of SiPh chips, Ⅲ?Ⅴ laser sources on silicon substrates have always been a research hotspot and key technical challenge in both the academic and industrial fields. Many approaches have been developed to realize Ⅲ?Ⅴ lasers on Si platforms, such as heterogeneous integration (including wafer-bonding and micro-transfer printing) and monolithic integration. Although the wafer-bonding approach has been commercialized in small-volume production, its productivity and yield should be further improved to meet the needs of large-scale integration applications. Monolithic integration by direct epitaxy is regarded as the true wafer-scale integration method, but the technological level, including improvements in crystal quality and coupling approaches, still requires substantial research and development.
SignificanceTerahertz (THz) noise sources are crucial tools for measuring the noise figure and evaluating the system performance of high-frequency THz devices and systems. They find widespread applications in radar systems, THz imaging, noise figure measurement, and radiometric calibration. Conventional noise sources are based on thermal radiation or solid-state electronic devices, and thus suffer from significant limitations in frequency coverage, excess noise ratio (ENR) and spectral flatness, limiting their applicability in advanced broadband THz systems. Fortunately, the radio-frequency (RF) photonic technology provides a promising alternative. This photonic approach can effectively overcome the inherent bandwidth bottleneck confronted by electronic methods, and show distinct advantages in frequency extension, ENR enhancement, and spectral flatness. This makes the photonic techniques be a research hot in recent years.ProgressWe generally review the recent progresses in the field of THz noise source research. Traditional thermal radiation noise sources are limited by low output noise power and thus can not reach a high ENR. As a result, they can only be used as reference standards for calibrating other kind of noise sources. Solid-state electronic noise sources often process high ENR, but limited by the electronic bottleneck, their bandwidth is difficult to be enhanced to the THz domain. Different with them, the RF photonic technologies convert optical noise into electrical noise through photo-mixers such as high-speed photodetectors (PDs), so that they deliver some key advantages simultaneously such as wide frequency range, high ENR, and excellent spectral flatness. In view of this, we emphatically introduce the fundamental principles for THz noise generation, and some typical experimental schemes based on incoherent light sources such as amplified spontaneous noise and laser chaos are reviewed. At the same time, we point that, limited by the high-speed PDs including PIN-PDs and UTC-PDs, only 390 GHz operation bandwidth is implemented using this RF photonic method. Exploring photoconductive antennas to construct new generation of ultrafast photo-mixers may be a promising solution, due to the ultralow carrier lifetime of photoconductive materials.Conclusions and ProspectsFocusing on technical requirements and implementation pathways for THz noise sources, this paper systematically summarizes the developmental status of noise generation technologies based on thermal radiation, solid-state electronic and RF photonic technique. Compared with the traditional noise generation scheme, the incoherent optical mixing method based on RF photonic technologies demonstrates significant advantages in operating frequency range, spectral control and output power. However, RF photonic technologies still face several challenges in achieving higher performance and broader application. First, as the core components of THz noise sources, high-speed PDs are limited by material properties and high-frequency coupling and packaging technologies, making it difficult to further enhance the operational frequency of the noise sources. Second, the size of THz noise sources based on RF photonic technologies is significantly larger than solid-state noise sources. This severely restricts applications with strict volume and weight requirements, such as satellite payloads. Furthermore, current applications of terahertz noise sources are primarily focused on measuring the noise figure of millimeter-wave and terahertz devices. To realize broader adoption and functionality, it is imperative to explore their potential in diversified application domains such as non-destructive evaluation, noise radar, and security imaging.
SignificanceThe extensive application of UAV technology in low-altitude environments has posed new challenges for UAV target detection in complex backgrounds. While conventional detection methods such as acoustic detection, radar detection, and electro-optical detection have achieved certain success in UAV identification, they still present multiple limitations. Contemporary low-altitude UAVs feature low noise levels, small radar cross-sections, and vulnerability to obstruction interference from trees and buildings, significantly increasing detection difficulties. Consequently, there is an urgent need for novel electro-optical solutions to effectively detect UAV targets in long-range, complex scenarios. The rapidly developing modulated-demodulated snapshot spectral imaging technology can simultaneously acquire both spatial and spectral information of targets through single-exposure imaging. By leveraging the spectral feature differences between targets and their backgrounds, this technology enables effective identification, thereby providing a new electro-optical approach for low-altitude drone detection in complex environments.ProgressCurrently, UAV target-detection technology in complex environments has advanced rapidly, establishing a multimodal detection system encompassing acoustic, radar, radio-frequency (RF), and electro-optical (infrared/visible) approaches. Meanwhile, integrated multi-technology solutions have matured, collectively forming a comprehensive framework for small-UAV detection and identification. In acoustic detection, sensor design has evolved from single-microphone to multi-microphone arrays, with technological progression shifting from single-point sensing to spatial sampling, and from shallow feature extraction to deep feature representation. The radar-detection domain has achieved breakthroughs through deep integration of millimetre-wave radar with deep-learning algorithms, effectively addressing low-altitude small-UAV detection challenges by enhancing micro-Doppler feature extraction and optimizing anti-interference strategies. RF detection technology is advancing through the combination of deep-learning frameworks and specialised RF datasets, where deep neural networks (DNNs) and residual convolutional neural networks (RCNNs) have significantly improved recognition accuracy and environmental adaptability. For visual detection modalities such as visible-light and infrared imaging, optimisation primarily leverages YOLO-series object-detection models, with notable progress in model simplification, lightweight design, and computational efficiency. Modulated-demodulated snapshot spectral imaging has emerged as a mature electro-optical solution. This team has developed a snapshot-based small-UAV detection system using this technology and has successfully achieved effective target identification. Experimental results demonstrate an algorithm accuracy of 0.953 and a recall rate of 0.948, significantly enhancing detection robustness for small-UAV targets in complex backgrounds.Conclusions and ProspectsThe rapid development of modulated-demodulated snapshot spectral imaging technology has provided crucial technical support for spectral detection of dynamic targets, significantly enhancing the detection capability for point targets such as low-altitude drones. Meanwhile, as a core technology, this electro-optical fusion-detection approach will continue to evolve, driving deep integration and fusion of multispectral, infrared and low-light imaging technologies in the feature domain. By achieving multimodal fusion based on electro-optical information, we can further improve the detection performance and recognition accuracy for small low-altitude UAV targets. To meet the demands of target tracking and countermeasures, future efforts will focus on synergistic integration of electro-optical detection with radar and other sensing technologies, ultimately establishing a more efficient and robust comprehensive monitoring system.
ObjectiveBragg gratings, based on periodic refractive index modulation, achieve filtering functionality through selective reflection. They are ideal components for high-density photonic integration, high-speed optical communication, and miniature sensing systems. They are particularly important in external-cavity semiconductor lasers, where they can significantly narrow the laser linewidth by increasing the effective cavity length and leveraging the optical injection locking effect. However, fiber Bragg gratings and volume Bragg gratings face challenges such as poor thermal stability, sensitivity to acoustic noise, and difficulty in integration. This makes planar waveguide Bragg gratings a more suitable choice for integration. Among these, cladding-modulated gratings, which have a low coupling coefficient and narrow reflection bandwidth, support long grating designs and demonstrate outstanding potential for realizing ultra-narrow linewidth lasers. In this paper, we use the finite-difference time-domain (FDTD) method to analyze the influence of parameters such as the grating duty cycle and cladding modulation depth on optical properties. This provides essential theoretical support for the design of narrow-linewidth external-cavity lasers.MethodsThe cladding-modulated Bragg grating operates in the C-band and employs a single-mode Si3N4 waveguide with a width of 1 μm and a thickness of 0.35 μm (Fig. 1). The grating structure consists of periodic Bragg grating pillars. Precise control over the coupling coefficient is achieved by adjusting parameters such as the spacing between the grating pillars and the waveguide, as well as the grating duty cycle. Based on coupled-mode theory, the relationship between the coupling coefficient and structural parameters is derived, and their effects on reflection bandwidth and reflectivity are analyzed. By optimizing these parameters, a long grating structure with a low coupling coefficient can be designed to achieve a narrow-bandwidth Bragg grating. This design can be used to construct external-cavity semiconductor lasers that produce laser outputs with kHz-level narrow linewidths.Results and DiscussionsIn this paper, we systematically investigate how key parameters of cladding-modulated Bragg gratings, including the coupling coefficient, reflection bandwidth, and reflectivity, vary with structural changes. The goal is to provide theoretical guidance for designing waveguide Bragg gratings with low coupling coefficients, narrow bandwidths, and low reflectivity. First, we analyze the effect of the spacing between the grating pillars and the waveguide on the coupling coefficient. When the duty cycle is set to 0.5, increasing the spacing from 600 to 1000 nm reduces the effective refractive index, which leads to a significant decrease in the coupling coefficient from 4.82 to 0.35 cm-1 (Fig. 3). This indicates that increasing the spacing effectively weakens evanescent field coupling, thus significantly reducing the grating’s coupling coefficient. Furthermore, under the same spacing conditions, increasing the duty cycle enhances the modulation amplitude of the cladding’s refractive index and increases the coupling coefficient. This demonstrates the important role of the duty cycle in controlling the coupling strength. Regarding reflection performance, simulation results show that when the grating length is 30 mm, reducing both the spacing and the duty cycle narrows the reflection bandwidth and lowers reflectivity (Fig. 4). Specifically, as the grating spacing increases from 800 to 1200 nm, the reflectivity decreases from 0.9984 to 0.0870, and the central wavelength blue-shifts from 1550.215 to 1550.162 nm (Fig. 5). Meanwhile, with the grating spacing fixed at 1000 nm, increasing the duty cycle from 0.3 to 0.7 raises the reflectivity from 0.19 to 0.75, and the central wavelength red-shifts from 1550.164 to 1550.188 nm (Fig. 6). Finally, we emphasize the effect of grating length on device performance. Experimental results show that when the grating length reaches 30 mm, the reflectivity can reach 0.63, and the reflection bandwidth is compressed to 42 pm (Fig. 7). These findings demonstrate the feasibility of improving reflection efficiency and achieving narrower bandwidths by extending the grating length.ConclusionsTo meet the requirements for mode selection and linewidth narrowing in hybrid integrated narrow-linewidth lasers, we design a waveguide Bragg grating with cladding refractive index modulation. The grating features a low coupling coefficient and a narrow reflection bandwidth. The periodic refractive index modulation is formed by periodic grating pillars distributed in the cladding. Using the FDTD method, we simulate the influence of grating structure parameters on the Bragg resonance characteristics. The results show that increasing the grating spacing or reducing the duty cycle significantly reduces the coupling coefficient. By optimizing the coupling coefficient, a narrow reflection bandwidth with low reflectivity can be achieved using a grating several centimeters in length. The simulation results reveal an inherent relationship between the coupling coefficient, reflection bandwidth, and reflectivity. Our research provides theoretical guidance for designing cladding-modulated Bragg gratings with low coupling coefficients and demonstrates significant value in the study of narrow linewidth hybrid integrated lasers.
ObjectiveOptical neuromorphic computing, by emulating the event-driven characteristics and spatiotemporal associative memory mechanisms of biological neural systems, leverages the inherent advantages of optical signals, namely, high transmission speeds, low crosstalk, and parallel processing enabled by wavelength-division multiplexing. This approach offers significant energy efficiency advantages in pattern recognition and temporal information processing tasks. Silicon-based photonic integrated chips, which benefit from mature complementary metal oxide semiconductor-compatible (CMOS-compatible) fabrication technologies, offer unique advantages for developing optoelectronic co-processing architectures and provide a highly integrated platform for advancing optical neuromorphic computing. Micro-ring resonator (MRR), as critical components in silicon photonic integrated chips, have been employed for neuromorphic photonic computing, including reservoir computing. However, silicon-integrated MRR face two major limitations. First, the mismatch between the relaxation timescales of free-carrier effects (about 10 ns) and thermo-optic effects (about 100 ns) often results in self-pulsation within the semi-steady-state operational regime. This interrupts the coherent mapping between input signals and reservoir states, thus degrading the correlation between input features and output responses and reducing computational accuracy. Second, the inherently slow thermo-optic relaxation limits the computing speed to the Mbit/s level. In contrast, perovskite materials offer ultrafast, femtosecond-level Kerr nonlinear responses, significantly enhancing the dynamic performance and the speed of integrated photonic reservoir computing systems. Their compatibility with waveguide integration makes them promising candidates for next-generation ultrafast photonic reservoir computing hardware, offering a new path to overcome current speed limitations in neuromorphic architectures.MethodsA dynamic model based on time-domain coupled-mode theory (CMT) is developed through Eqs. 1?3 to describe the perovskite MRR. The model incorporates Kerr-induced refractive index modulation and light-intensity interactions. The model introduces dimensionless normalized parameters to address the temporal disparity between the ultrafast Kerr relaxation time (τnl~70 fs) and the photon lifetime (τp~16.7 ps), ensuring computational stability. Perturbation analysis is used to derive the Jacobian matrix (Eq. 4). This allows for the efficient identification of nonlinear operating regions, such as steady states and saddle points, without the need for dynamic simulations. Subsequently, a photonic reservoir computing framework (Fig. 2) is designed by leveraging the micro-ring’s Kerr-induced nonlinear dynamics and photon lifetime-dependent short-term memory for digit speech classification tasks. The input layer utilizes Lyon’s cochlear model to preprocess audio signals, decomposing them into multiple frequency channels with uniform temporal steps. These signals are then linearly mapped to optical input power levels within the nonlinear operation range of the MRR, exploiting Kerr-induced nonlinear dynamics for high-dimensional feature mapping. In a single-node simulation, a resetting mechanism is introduced between channels to return the MRR to its initial state and avoid inter-channel interference. The transient optical responses are sampled at uniform intervals to generate virtual nodes. These are concatenated across all channels into a one-dimensional feature vector and fed to the readout layer. The final classification is performed using ridge regression with L2 regularization (Eq. 5), mapping the reservoir’s spatiotemporal nonlinear states to discrete digit categories.Results and DiscussionsThe nonlinear operating range of the MRR is identified via Jacobian eigenvalue analysis [Fig. 3(a)], and dynamic simulations [Fig. 3(b)] validate this prediction, which show consistent transitions between steady states under sinusoidal modulation. The critical thresholds match closely with the analytical boundaries. Combining dynamic simulations and bifurcation analysis confirms the absence of self-pulsing within the semi-stable regime, which is crucial for reliable spatiotemporal mapping in reservoir computing. The proposed perovskite MRR-based reservoir achieves 96.5% accuracy in digit classification at an ultrahigh input rate of 200 Gbit/s, outperforming silicon-based systems limited by slower thermo-optic nonlinearities. At varying input rates [Fig. 4(b)], the reservoir maintains consistent nonlinear time-domain mappings, with dynamic variations primarily governed by photon lifetime-dependent short-term memory effects. Increasing input rates causes broader oscillations in nonlinear responses and different frequency channels exhibit distinct response dynamics [Fig. 4(c)], demonstrating the system’s remapping capabilities. Notably, channels with similar signal envelopes [e.g., channel 15 in Fig. 4(c) and channel 19 in Fig. 4(b)] yield similar response patterns, reflecting strong feature extraction capabilities. Parameter analysis shows that optimal performance is achieved using four virtual nodes per channel (Fig. 5). Exceeding this number introduces redundant or interfering features (e.g., speaker-dependent variations), reducing classification accuracy by about 3 percentage points. When input rates match the inverse photon lifetime, temporal correlation extraction is optimized, improving feature separability. However, at excessively high rates (>400 Gbit/s), insufficient light-energy accumulation weakens Kerr modulation, decreasing classification accuracy by about 5 percentage points. These results highlight the dynamic interaction between virtual node density, nonlinear mapping, and short-term memory, emphasizing the need to balance these parameters in system design.ConclusionsIn this paper, we propose an innovative approach for ultrafast integrated photonic neuromorphic computing using perovskite MRR with pure Kerr nonlinearity, overcoming the speed bottlenecks of conventional silicon-based platforms. Theoretical modeling via coupled-mode theory and perturbation analysis offers critical insights for designing Kerr-based reservoir architectures and identifying stable operational regimes while avoiding self-pulsing. The proposed system achieves 96.5% accuracy in speech digit classification at 200 Gbit/s, validated through dynamic simulations and parameter studies. This paper establishes a framework for high-speed, energy-efficient photonic neuromorphic systems and provides insights into material-driven optimization in nonlinear photonic reservoir design.
ObjectiveModern long-distance optical communication systems can significantly benefit from the MDM-WDM system, which combines mode division multiplexing (MDM) and wavelength division multiplexing (WDM) techniques to substantially increase transmission capacity and optimize spectral efficiency. However, signal transmission through passive optical fibers inevitably results in power attenuation due to inherent transmission losses, necessitating the use of optical amplifiers to restore signal power. The few-mode erbium-doped fiber amplifier (FM-EDFA) has become a key technology for improving the performance of MDM-WDM optical communication systems, thanks to its unique amplification capabilities for long-distance transmission. Nonetheless, FM-EDFA faces two major technical challenges that limit its performance and practical applications: mode-dependent gain equalization and wavelength-dependent gain flattening. In FM-EDFA, differential modal gain (DMG) causes power imbalance between different modes, directly degrading transmission quality. Furthermore, differential wavelength gain (DWG) affects the signal power distribution across wavelengths. If not addressed, these issues lead to signal distortion, ultimately compromising system stability and transmission performance.MethodsA model for the FM-EDFA system is developed in MATLAB based on theoretical studies of erbium ion energy levels and optical power propagation equations. This model is first used to study the influence of a single-layer erbium ion doping structure on wavelength gain flattening. The effects of LP01 and LP11 pumps on FM-EDFA wavelength gain flattening are analyzed, with LP11 pumping identified as the optimal solution. Subsequently, the single-layer erbium ion doping design is optimized to achieve wavelength gain flattening for three signal modes within the C-band. However, despite the successful wavelength gain flattening achieved with LP11 pumping, the modal gain difference is too large to achieve modal gain equalization. To simultaneously achieve wavelength gain flattening and modal gain equalization, a dual-layer erbium ion doping structure is proposed, based on the mode field distribution in the fiber. Its feasibility is verified, and global optimization is performed using a genetic algorithm, which results in the determination of optimal doping design parameters. In addition, the effects of pump power, fiber length, and signal input power on FM-EDFA gain characteristics are further analyzed, and the tolerance to fabrication errors in FM-EDF manufacturing is also evaluated.Results and DiscussionsIn the gain-flattening design, using single-layer erbium ion doping and LP11 pumping, three signal modes with DWG of less than 0.2 dB are achieved in the C-band (Fig. 5). However, the excessive modal gain difference prevents modal gain equalization. To resolve this, a dual-layer erbium ion doping structure is designed based on the mode field distribution [Fig. 3(b)] and combined with LP11 pumping to simultaneously achieve both modal gain equalization and wavelength gain flattening. Its feasibility is initially verified (Fig. 6), and global optimization using a genetic algorithm leads to the optimal FM-EDFA parameters (Table 1). The optimized FM-EDFA achieves gains above 20 dB for three signal modes in the C-band, with DMG below 0.14 dB and DWG below 0.25 dB (Fig. 7), demonstrating effective modal gain equalization and wavelength gain flattening. A parameter fluctuation analysis of FM-EDFA in practical applications shows that with pump power ranging from 300 mW to 700 mW, mode gain remains above 20 dB, while both DMG and DWG are less than 1 dB (Fig. 9). When the fiber length is varied between 3.8 m and 7.5 m, DMG remains below 0.5 dB and DWG below 1 dB (Fig. 8). When the signal input power exceeds -20 dB, both DWG and DMG stay below 1 dB (Fig. 10). Tolerance analysis of manufacturing errors in the designed FM-EDF shows that DWG and DMG could be maintained below 2 dB (Figs. 11 and 12), confirming stable performance in both modal gain equalization and wavelength gain flattening.ConclusionsIn this paper, we present a dual-layer erbium ion doping structure for FM-EDFA to achieve both wavelength gain flattening and modal gain equalization in MDM-WDM optical communication systems. In the analysis of the single-layer doping structure, LP11 pumping and optimized doping parameters successfully achieve wavelength gain flattening but fail to ensure modal gain equalization. To address this, a dual-layer erbium ion doping structure is designed based on the mode field distribution analysis. Global optimization using genetic algorithms is employed to determine the optimal doping parameters, leading to both wavelength gain flattening and modal gain equalization. Computational results demonstrate that the optimized FM-EDFA achieves a gain above 20 dB for three signal modes in the C-band, with DMG below 0.14 dB and DWG below 0.25 dB. Furthermore, in practical applications, variations in fiber length, pump power, and signal input power have minimal influence on FM-EDFA gain performance. Tolerance analysis confirms the high robustness of the design, with the FM-EDFA exhibiting excellent stability and feasibility.
ObjectiveThis study focuses on developing a dual-functional visible light communication (VLC) chip utilizing micro-LED (μ-LED) suspended membrane circular arrays to address the performance limitations of conventional LEDs in high-speed optical communication. Through the design and fabrication of μ-LED arrays in different dimensions (20, 30, and 40 μm), the research examines the dimensional effects on light emission and detection performance, maximizing modulation bandwidth and optoelectronic conversion efficiency. The implementation of a suspended membrane structure and multiple-input multiple-output (MIMO) technology enhances data transmission rates and communication capacity while integrating emission and detection capabilities, establishing foundational support for dual-functional VLC systems. This study advances duplex VLC technology, particularly in optoelectronic performance and transmission rates, highlighting the significant potential of μ-LED arrays in high-speed optical communication and detection applications.MethodsThe chip fabrication utilized a 2-inch (5.08 cm) Si substrate GaN epitaxial wafer, with GaN epitaxial layers grown via metal-organic chemical vapor deposition (MOCVD) technology. The epitaxial layer measures approximately 4.575 μm in total thickness, comprising a 0.7 μm AlN/AlGaN buffer layer, a 0.8 μm undoped GaN (u-GaN) layer, a 2.8 μm n-GaN layer, a 100 nm (In)GaN layer, a 50 nm multi-quantum well (MQWs) active layer, and a 125 nm p-GaN layer. The Si substrate was thinned to 200 μm to facilitate removal. The fabrication process encompassed several critical steps: 1) Deposition of a 20 nm/100 nm Ni/Au metal layer on the p-GaN layer for p-type ohmic contact electrode formation. 2) Implementation of photolithography, ion beam etching (IBE), and inductively coupled plasma (ICP) etching to create circular μ-LED arrays in three dimensions (diameters: 20, 30, and 40 μm), exposing the n-GaN layer during ICP etching. 3) Deposition of a 50 nm/100 nm/100 nm Ti/Pt/Au metal layer on the exposed n-GaN layer to form the n-type ohmic contact electrode. 4) Application of a 200 nm SiO2 insulating layer via plasma-enhanced chemical vapor deposition (PECVD), followed by photolithography and reactive ion etching (RIE) to establish pad openings for electrode connection. 5) Post-pad layer deposition and photoresist removal, application of new photoresist for surface protection, followed by photolithography for Si substrate etching window creation. Deep silicon etching technology facilitated Si substrate removal, establishing the suspended membrane μ-LED chip. 6) Following surface cleaning, the chip was mounted on a printed circuit board (PCB) and equipped with an SMA interface for subsequent analysis. The fabrication process yielded a dual-functional VLC chip demonstrating notable advantages in both emission and detection capabilities. Smaller arrays exhibited superior high-speed data transmission, while medium-sized arrays demonstrated optimal signal quality as photodetectors, featuring minimal jitter and waveform distortion for enhanced sensitivity and detection precision. This research provides comprehensive theoretical and experimental validation for dual-functional VLC system development.Results and DiscussionsSample A1 demonstrates enhanced capacitance characteristics, with higher peaks and lower valleys, indicating accelerated capacitance reduction and superior carrier recombination efficiency and response speed compared to other samples. This enhanced response capability is essential for high-speed optical communication, enabling elevated modulation frequencies and improved data transmission rates [Fig. 4(c)]. The reduced LED dimensions contribute to lower parasitic capacitance, decreasing the RC time constant (τRC) and expanding the 3 dB bandwidth. Experimental data confirms that sample A1 achieves the highest modulation bandwidth at 8.08 MHz, while samples A2 and A3 reach 7.27 MHz and 6.75 MHz, respectively. Consequently, sample A1, characterized by higher current density (J), reduced parasitic capacitance, and superior bandwidth, presents optimal characteristics for VLC systems [Fig. 4(f)]. The optical extraction efficiencies are measured at: A1 ~84%, A2 ~77%, and A3 ~80%, substantially surpassing traditional GaN-based LEDs on silicon substrates, which typically achieve less than 40%. This enhancement demonstrates the suspended membrane array structure’s significant contribution to optical extraction efficiency, validating its effectiveness in LED performance enhancement [Fig. 5(d)]. Furthermore, Fig. 8(h) illustrates the correlation between communication rate and waveform distortion for sample A2. Utilizing DMT-modulated signals, sample A2 achieved 158.369 kbit/s under 64-QAM modulation, doubling the communication speed compared to PRBS signals. The integration of MIMO technology, employing four sector LED arrays in parallel, enables further detection speed enhancement through spatial multiplexing, optimizing system performance [Fig. 8(h)].ConclusionsThis study presents the design, fabrication, and evaluation of a suspended circular blue μ-LED array. Through the implementation of backside processing technology to fully remove the Si substrate, the optoelectronic performance of GaN-based μ-LEDs demonstrated substantial enhancement. The research team fabricated μ-LED chips with three different dimensions (D=20, 30, and 40 μm), designated as samples A1, A2, and A3. The LED arrays incorporate an innovative circular structure that combines light emission and detection capabilities. Sample A1 attained a data transmission rate of 79.3 Mbit/s at 460 nm, surpassing sample A3 by a factor of two. Sample A2 exhibited superior performance in 395 nm light detection, achieving a detection rate of 158.4 kbit/s, which is twice that of sample A1. The experimental findings demonstrate the size-dependent characteristics of circular μ-LED arrays in dual-function VLC: smaller μ-LEDs, particularly sample A1, demonstrate reduced parasitic capacitance, minimized sampling distortion, and enhanced noise margin, thereby improving signal fidelity and broadening modulation bandwidth. Utilizing DMT modulation, sample A1 functioning as a light emitter achieved a maximum data rate of 79.3 Mbit/s under 32-QAM modulation, validating its capability for high-speed transmission. Sample A2, operating as a light detector, delivered optimal signal quality with minimal jitter and waveform distortion, ensuring superior sensitivity and detection precision. This research demonstrates the successful single-chip integration of μ-LEDs and PDs while establishing a comprehensive theoretical and experimental foundation for developing high-speed dual-function VLC systems, offering valuable insights for future single-chip duplex VLC applications.
ObjectiveChaotic secure optical communication technology provides inherent high security through physical-layer encryption while maintaining compatibility with existing fiber-optic networks in terms of components, link architectures, and potential for high transmission rates, garnering substantial research interest. However, the practical implementation of chaotic secure communication faces two primary limitations: current chaotic communication rates lag behind modern fiber-optic systems, which have achieved transmission rates up to 200 Gbit/s, and most demonstrated systems utilize optical feedback semiconductor lasers with discrete components as chaos sources, presenting stability and mass production cost challenges. Therefore, enhancing chaotic carrier light bandwidth and achieving device integration are crucial requirements for improving these systems: the former determines transmission performance, while the latter addresses stability and cost issues in discrete components. Developing a broadband chaotic semiconductor laser with integrated structure as a chaos source is essential for advancing chaotic secure communication technologies. Building upon previous research, this study investigates the generation of bandwidth-enhanced laser chaos using integrated three-section distributed reflector (DR) lasers with optical drive, providing an optimal carrier light source for chaotic secure optical communication technology.MethodsWe adopted the research methodology combining simulation (based on the VPIcomponentMaker simulation software) with experimentation. First, by adjusting the injection intensity in simulations, we verified that the integrated three-section DR laser under chaos optical driving possesses the capability to generate wide-bandwidth laser chaos. Second, through adjustments of the bias current, we investigated the effects of the phase section and distributed Bragg reflector (DBR) section on chaos bandwidth. Then, we commissioned an external organization to fabricate the three-section DR laser and conducted experimental exploration of the three-section DR laser with optical drive by adjusting the injection intensity.Results and DiscussionsSimulation results demonstrate that under external chaos optical driving, the photon-photon resonance (PPR) effect in the three-section DR laser enhances the high-frequency spectral components of the chaotic RF spectrum, generating bandwidth-enhanced chaotic carrier light (Fig. 2). The research reveals that PPR resonance peaks emerge even under weak optical injection. As injection intensity increases, the low-frequency energy of the RF spectrum interacts synergistically with the PPR peaks, effectively promoting bandwidth enhancement of the laser chaos (Fig. 3). Additionally, adjusting the bias currents in the phase section and DBR section enables control of the PPR resonance peaks, allowing simultaneous regulation of chaos bandwidth. Both PPR frequency and intensity influence chaos bandwidth: PPR frequency governs the evolutionary trend of bandwidth variation, while PPR intensity determines the magnitude of these variations (Fig. 4). Experimental research demonstrates that the integrated DR laser with chaos optical drive generated laser chaos with a bandwidth of 31.38 GHz via the PPR effect, substantially exceeding the chaos bandwidth of the drive source (Fig. 6). The higher injection intensity required for maximum bandwidth in experiments compared to simulations primarily results from practical device losses and optical path losses. Despite this discrepancy, the experimental results regarding injection intensity’s influence on bandwidth closely align with simulation findings: increasing injection intensity initially enhances chaos bandwidth significantly, followed by saturation and eventual reduction. The bandwidth enhancement mechanisms in both cases derive from the PPR effect (Fig. 7).ConclusionsThis paper achieves chaos bandwidth enhancement in three-section DR lasers through chaos optical drive methods, validated in both simulations and experiments. The results demonstrate that this integrated laser exhibits PPR effects with optical injection, where the frequency and intensity of PPR peaks jointly determine the bandwidth enhancement. Simulation results reveal that under external chaos optical driving, the PPR effect in the three-section DR laser can enhance high-frequency spectral components of the laser chaos, generating bandwidth-enhanced chaos. Adjusting the bias currents in the phase section and DBR section enables precise control over the dynamics of laser chaos. Experimental results demonstrate that the three-section DR laser with chaos optical drive generates laser chaos with the bandwidth of 31.38 GHz, significantly exceeding the bandwidth of drive chaos. In experiments, the influence of the injection intensity variations on chaos bandwidth is highly consistent with simulation results, thereby confirming the scientific validity of the simulation research in this paper. This advancement is expected to provide a high-efficiency and broadband chaos source with multi-parameter tunability, simplifying system complexity while enhancing the performance and transmission rates of chaotic secure communication systems. The device holds significant importance for achieving co-driven open-loop broadband synchronization in future chaotic secure communication applications.
ObjectiveWith the rapid development of information technology, especially in the context of increasing requirements for data transmission and computation speed, traditional electronic logic gates face bottlenecks in terms of speed, power consumption, and integration. In contrast, optoelectronic logic gates are able to utilize the high-speed propagation characteristics of light and the parallelism that photons possess to break through these limitations and provide more efficient computation and information processing capabilities. However, current optoelectronic logic devices generally rely on heterogeneous material integration schemes or non-standard complementary metal oxide semiconductor (CMOS) processes to realize logic functions, resulting in high design and implementation costs as well as poor compatibility with standard CMOS processes. To this end, we present a photodiode-metal oxide semiconductor (PD-MOS) device prepared monolithically based on the semiconductor manufacturing international corporation (SMIC) 180 nm CMOS process platform. By independently modulating the incident light intensity and gate voltage to achieve bimodal modulation, the device can realize reconfigurable “OR” logic operations within a single silicon-based architecture. This monolithic integration strategy eliminates the need to introduce heterogeneous material stacks while maintaining full compatibility with standard CMOS manufacturing processes.MethodsUsing the Sentaurus TCAD simulation platform, the device is first modeled as a two-dimensional structure based on a standard 180 nm CMOS process, and its electrical characteristics are analyzed. The simulations focus on electric field strength under fixed bias voltage conditions, current density under illumination and in the dark, spectral responsivity, and I-V characteristics to preliminarily verify the feasibility of the device. In this paper, a fully customized layout is then designed using the Cadence Virtuoso EDA platform, and the device design and wafer processing are completed via SMIC’s 180 nm CMOS process. A test bench is built to test the I-V characteristics and spectral response curves of the device and compare them with simulation results. Finally, fixed bias voltages are provided for the drain and photodiode (PD) anode, and the gate and optical frequency are adjusted to experimentally demonstrate the “OR” logic function in a single device.Results and DiscussionsThe dual-mode modulated optoelectronic device proposed in this paper benefits from high responsivity in the gate voltage-controlled depletion region, and modulation of channel conductivity. At 0.4 V gate bias, the responsivity in the wavelength range of 450?1000 nm exceeds 4×104 A/W, with a peak responsivity of 1.18×105 A/W at 760 nm (Fig. 10). The key mechanism is the effective separation of photogenerated carriers in the PD depletion region, which modulates the threshold voltage and increases current. The threshold voltage shifts from around 700 mV in the dark to around 400 mV under illumination (Fig. 13). Under dual modulation input conditions (optical pulse: frequency f =50 Hz, optical power Popt=181 nW; electrical pulse: frequency f =25 Hz, amplitude VGS=1 V), the device is capable of performing “OR” logic operations (Fig. 15, Table 1). When the device’s output signal is represented as a binary sequence, it can be decoded into corresponding characters by a computer (Fig. 17).ConclusionsWe present a PD-MOS device prepared monolithically based on the SMIC 180 nm CMOS process platform is presented. The device modulates its threshold voltage and, consequently, its output current via a photogenerated substrate bias effect. Experimental results show that applying a suitable positive bias to both the metal-oxide-semiconductor field effect transistor (MOSFET) drain and the PD cathode enables the photogenerated substrate bias to effectively modulate the threshold current, producing distinguishable output currents under illuminated and dark conditions, thus completing the optical modulation. Due to its unique carrier modulation mechanism, the device exhibits enhanced photoelectric conversion under standard bias (VDS=Vcathode=1 V), with responsivity exceeding 4×104 A/W across 450?1000 nm. An output current above 5×10-7 A is defined as logic “1”, and below this threshold as “0”. Through the gate-controlled characteristics of the MOSFETs and the photogenerated carrier transport mechanism of the PD, the “OR” logic function is achieved by combining the gate-controlled operation of the MOSFET with the photogenerated carrier transport mechanism of the PD. When the output signal forms a specific binary sequence, it can be decoded into corresponding characters by a computer using the American standard code for information interchange (ASCII).