Chinese Journal of Lasers, Volume. 51, Issue 7, 0701005(2024)
Controlling Edge Placement Error in Extreme Ultraviolet Lithography
[1] Ngo A T, Dey B, Halder S et al. Machine learning-based edge placement error analysis and optimization: a systematic review[J]. IEEE Transactions on Semiconductor Manufacturing, 36, 1-13(2023).
[2] Mulkens J, Hanna M, Slachter B et al. Patterning control strategies for minimum edge placement error in logic devices[J]. Proceedings of SPIE, 10145, 1014505(2017).
[3] Bhattacharyya K. Tough road ahead for device overlay and edge placement error[J]. Proceedings of SPIE, 10959, 1095902(2019).
[4] Ma Y S, Hong L, Word J et al. Reduction of systematic defects with machine learning from design to fab[J]. Proceedings of SPIE, 11329, 1132909(2020).
[5] Mulkens J, Slachter B, Kubis M et al. Holistic approach for overlay and edge placement error to meet the 5 nm technology node requirements[J]. Proceedings of SPIE, 10585, 105851L(2018).
[6] Jeong J, Lee J, Kim J et al. Understanding advanced DRAM edge placement error budget and opportunities for control[J]. Proceedings of SPIE, 11325, 1132506(2020).
[7] Sakr E, DeLancey R, Hoppe W et al. High accuracy OPC modeling for new EUV low-K1 mask technology options[J]. Proceedings of SPIE, 12495, 124950P(2023).
[8] Mack C A. Metrics for stochastic scaling in EUV lithography[J]. Proceedings of SPIE, 11147, 111470A(2019).
[9] Shchegrov A V, Leray P, Paskover Y et al. On product overlay metrology challenges in advanced nodes[J]. Proceedings of SPIE, 11325, 113251P(2020).
[10] van Es R, van de Kerkhof M, Minnaert A et al. EUV for HVM: towards an industrialized scanner for HVM NXE3400B performance update[J]. Proceedings of SPIE, 10583, 105830H(2018).
[11] Ciou W L, Hu T, Tsai Y Y et al. Machine learning optical proximity correction with generative adversarial networks[J]. Journal of Micro/Nanopatterning, Materials, and Metrology, 21, 041606(2022).
[12] Ma X, Arce G R. Rule-based resolution enhancement techniques[M]. Computational lithography, 37-47(2010).
[13] Voelkel R, Vogler U, Bich A et al. Advanced mask aligner lithography: new illumination system[J]. Optics Express, 18, 20968-20978(2010).
[14] Cheng W, Li S K, Wang X Z et al. Through-focus EUV multilayer defect compensation considering optical proximity correction[J]. Applied Optics, 61, 4437-4448(2022).
[15] Chen G D, Li S K, Wang X Z. Efficient optical proximity correction based on virtual edge and mask pixelation with two-phase sampling[J]. Optics Express, 29, 17440-17463(2021).
[16] Neumann J T, Gräupner P, Kaiser W et al. Interactions of 3D mask effects and NA in EUV lithography[J]. Proceedings of SPIE, 8522, 852211(2012).
[17] Yan P Y, Rizvi S. Masks for extreme ultraviolet lithography[M]. Handbook of photomask manufacturing technology(2005).
[18] Finders J, de Winter L, Last T. Mitigation of mask three-dimensional induced phase effects by absorber optimization in ArFi and extreme ultraviolet lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 15, 021408(2016).
[19] Erdmann A, Fühner T, Evanschitzky P et al. Optical and EUV projection lithography: a computational view[J]. Microelectronic Engineering, 132, 21-34(2015).
[20] van Setten E, Bottiglieri G, de Winter L et al. Edge placement error control and Mask3D effects in high-NA anamorphic EUV lithography[J]. Proceedings of SPIE, 10450, 104500W(2017).
[21] Jonckheere R. Overcoming EUV mask blank defects: what we can, and what we should[J]. Proceedings of SPIE, 10454, 104540M(2017).
[22] Coskun T H, Wallow T, Chua G S et al. EUV OPC modeling and correction requirements[J]. Proceedings of SPIE, 9048, 90480W(2014).
[23] Wu Q, Li Y L, Yang Y S et al. A photolithography process design for 5 nm logic process flow[J]. Journal of Microelectronic Manufacturing, 2, 19020408(2019).
[24] Last T, de Winter L, van Adrichem P et al. Illumination pupil optimization in 0.33-NA extreme ultraviolet lithography by intensity balancing for semi-isolated dark field two-bar M1 building blocks[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 15, 043508(2016).
[25] Gao W M, Wiaux V, Hoppe W et al. Double patterning at NA 0.33 versus high-NA single exposure in EUV lithography: an imaging comparison[J]. Proceedings of SPIE, 10583, 105830O(2018).
[26] van Schoot J B P, Jasper J H C M, Bakshi V. Fundamentals of EUVL scanners[M]. EUV lithography, 593-649(2018).
[27] Neumann J T, Gräupner P, Kaiser W et al. Mask effects for high-NA EUV: impact of NA, chief-ray-angle, and reduction ratio[J]. Proceedings of SPIE, 8679, 867915(2013).
[28] Burkhardt M, Chen Z, Halle S et al. Focus considerations of design pitches and absorber choice for EUV random logic[J]. Proceedings of SPIE, 12051, 120510C(2022).
[29] Ma X, Arce G R. Introduction[M]. Computational lithography, 1-18(2010).
[30] Wong A K K, Weeks A R J. Optical imaging and resolution[M]. Resolution enhancement techniques in optical lithography, 31-70(2009).
[31] Kroyan A, Levenson D, Tittel F K. Coping with the impact of lens aberrations in the context of wavefront engineering[J]. Proceedings of SPIE, 3334, 832-838(1998).
[32] Bruning J H. Optical lithography: 40 years and holding[J]. Proceedings of SPIE, 6520, 652004(2007).
[33] Wu Q, Li Y L, Yang Y S et al. A study of image contrast, stochastic defectivity, and optical proximity effect in EUV photolithographic process under typical 5 nm logic design rules[C](2020).
[34] Hou Y Q, Wu Q. Optical proximity correction, methodology and limitations[C](2021).
[35] Liebmann L W. Resolution enhancement techniques in optical lithography: it’s not just a mask problem[J]. Proceedings of SPIE, 4409, 23-32(2001).
[36] Chen Y C, Li T H, Lin H Y et al. A portable pattern-based design technology co-optimization flow to reduce optical proximity correction run-time[J]. Proceedings of SPIE, 10588, 1058810(2018).
[37] Wang X L, Zhao X M, Chen B C et al. Implementing an OPC-based analysis method for evaluating the capabilities of photoresist and identifying hot spots[C](2023).
[38] Matsunawa T, Yu B, Pan D Z. Optical proximity correction with hierarchical Bayes model[J]. Proceedings of SPIE, 9426, 94260X(2015).
[39] Chen Z, Burkhardt M, Sieg S et al. EUV sub-resolution assist feature impact: experimental and simulation evaluation[J]. Proceedings of SPIE, 12494, 124940U(2023).
[40] Kim C, Lee S, Park S et al. Machine learning techniques for OPC improvement at the sub-5 nm node[J]. Proceedings of SPIE, 11323, 1132317(2020).
[41] Szucs A, Planchot J, Farys V et al. Advanced OPC mask-3D and resist-3D modeling[J]. Proceedings of SPIE, 9052, 905208(2014).
[42] Ho B C P, Doebler J, Niroomand A. OPC model building for EUV lithography[J]. Proceedings of SPIE, 11147, 1114714(2019).
[43] Erdmann A, Rizvi S. Modeling and simulation[M]. Handbook of photomask manufacturing technology(2005).
[44] Pistor T V, Adam K, Neureuther A. Rigorous simulation of mask corner effects in extreme ultraviolet lithography[J]. Journal of Vacuum Science & Technology B, 16, 3449-3455(1998).
[45] Erdmann A, Kalus C K, Schmoeller T et al. Efficient simulation of light diffraction from three-dimensional EUV masks using field decomposition techniques[J]. Proceedings of SPIE, 5037, 482-493(2003).
[46] Okoroanyanwu U. Chemistry of the limiting issues of photochemical and radiochemical resists and approaches to their solutions[M]. Chemistry and lithography. Vol. 2: Chemistry in lithography, 555-608(2023).
[47] de Bisschop P, Hendrickx E. Stochastic printing failures in EUV lithography[J]. Proceedings of SPIE, 10957, 109570E(2019).
[49] Brainard R L, Neisser M, Gallatin G, Bakshi V et al. Photoresists for EUV lithography[M]. EUV lithography, 493-591(2018).
[50] Narasimhan A, Grzeskowiak S, Ackerman C et al. Mechanisms of EUV exposure: electrons and holes[J]. Proceedings of SPIE, 10143, 101430W(2017).
[51] Narasimhan A K, Grzeskowiak S, Srivats B et al. Studying thickness loss in extreme ultraviolet resists due to electron beam exposure using experiment and modeling[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 14, 043502(2015).
[52] Levinson H. J. EUV resists[M]. Extreme ultraviolet lithography, 111-140(2020).
[53] Kozawa T, Okamoto K, Nakamura J et al. Feasibility study on high-sensitivity chemically amplified resist by polymer absorption enhancement in extreme ultraviolet lithography[J]. Applied Physics Express, 1, 067012(2008).
[54] Maas R, van Lare M C, Rispens G et al. Stochastics in extreme ultraviolet lithography: investigating the role of microscopic resist properties for metal-oxide-based resists[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 041003(2018).
[55] van Schoot J, Lok S, van Setten E et al. High-NA EUV lithography exposure tool: advantages and program progress[J]. Proceedings of SPIE, 11517, 1151712(2021).
[56] Kozawa T, Tagawa S. Radiation chemistry in chemically amplified resists[J]. Japanese Journal of Applied Physics, 49, 030001(2010).
[57] Chunder A, Latypov A, Biafore J J et al. Systematic assessment of the contributors of line edge roughness in EUV lithography using simulations[J]. Proceedings of SPIE, 10583, 105831N(2018).
[58] Wallow T, Higgins C, Brainard R et al. Evaluation of EUV resist materials for use at the 32 nm half-pitch node[J]. Proceedings of SPIE, 6921, 69211F(2008).
[59] Finders J, Wuister S, Last T et al. Contrast optimization for 0.33NA EUV lithography[J]. Proceedings of SPIE, 9776, 97761P(2016).
[60] Naulleau P, Gallatin G. Relative importance of various stochastic terms and EUV patterning[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 041015(2018).
[61] Naulleau P, Anderson C, Chao W L et al. Stochastics and EUV patterning in the 1x-nm regime[J]. Journal of Photopolymer Science and Technology, 29, 797-802(2016).
[62] Long L T, Neureuther A R, Naulleau P P. 3D modeling of EUV photoresist using the multivariate Poisson propagation model[J]. Proceedings of SPIE, 11609, 116091C(2021).
[63] Mack C A, Adel M. Overlay and edge placement error metrology in the era of stochastics[J]. Proceedings of SPIE, 12496, 1249609(2023).
[64] Kim Y K, Pohling L, Hwee N T et al. Proximity matching for ArF and KrF scanners[J]. Proceedings of SPIE, 7272, 72723A(2009).
[65] Zhang Z N, Li S K, Wang X Z et al. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm[J]. Optics Express, 29, 5448-5465(2021).
[66] Mack C A. Analytical expression for impact of linewidth roughness on critical dimension uniformity[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 020501(2014).
[67] Constantoudis V, Patsis G P, Leunissen L H A et al. Line edge roughness and critical dimension variation: fractal characterization and comparison using model functions[J]. Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures, 22, 1974-1981(2004).
[68] Constantoudis V, Papavieros G, Gogolides E et al. Challenges in line edge roughness metrology in directed self-assembly lithography: placement errors and cross-line correlations[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 16, 024001(2017).
[69] Dai G L, Hahm K, Bosse H et al. Comparison of line width calibration using critical dimension atomic force microscopes between PTB and NIST[J]. Measurement Science and Technology, 28, 065010(2017).
[70] Choisnet T, Hammouti A, Gagneur V et al. Critical dimension measurement: from synchrotron small angle X-ray scattering to industrial optical scatterometry techniques[J]. Proceedings of SPIE, 12496, 124961K(2023).
[71] Liao W P, Liu H L, Lin Y F et al. I-line photolithographic metalenses enabled by distributed optical proximity correction with a deep-learning model[J]. Optics Express, 30, 21184-21194(2022).
[72] Wu W L, Kline R J, Jones R L et al. Review of the key milestones in the development of critical dimension small angle X-ray scattering at National Institute of Standards and Technology[J]. Journal of Micro/Nanopatterning, Materials, and Metrology, 22, 031206(2023).
[73] Bunday B, Germer T A, Vartanian V et al. Gaps analysis for CD metrology beyond the 22 nm node[J]. Proceedings of SPIE, 8681, 86813B(2013).
[74] Kline R J, Sunday D F, Windover D et al. X-ray scattering critical dimensional metrology using a compact X-ray source for next generation semiconductor devices[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 16, 014001(2017).
[75] Bunday B D, Bello A, Solecky E et al. 7/5 nm logic manufacturing capabilities and requirements of metrology[J]. Proceedings of SPIE, 10585, 105850I(2018).
[76] Orji N G, Badaroglu M, Barnes B M et al. Metrology for the next generation of semiconductor devices[J]. Nature Electronics, 1, 532-547(2018).
[77] Malloy M, Thiel B, Bunday B D et al. Massively parallel E-beam inspection: enabling next-generation patterned defect inspection for wafer and mask manufacturing[J]. Proceedings of SPIE, 9423, 942319(2015).
[78] Ukraintsev V, Banke W, Zagorodnev G et al. CD-SEM real time bias correction using reference metrology based modeling[J]. Proceedings of SPIE, 10585, 105850W(2018).
[79] Dai G L, Hahm K, Sebastian L et al. Comparison of EUV photomask metrology between CD-AFM and TEM[J]. Nanomanufacturing and Metrology, 5, 91-100(2022).
[80] Orji N G, Itoh H, Wang C M et al. Tip characterization method using multi-feature characterizer for CD-AFM[J]. Ultramicroscopy, 162, 25-34(2016).
[81] Hussain D, Ahmad K, Song J M et al. Advances in the atomic force microscopy for critical dimension metrology[J]. Measurement Science and Technology, 28, 012001(2017).
[82] Fouchier M, Pargon E, Bardet B. An atomic force microscopy-based method for line edge roughness measurement[J]. Journal of Applied Physics, 113, 104903(2013).
[83] Liu L, Xu J G, Zhang R et al. Three-dimensional atomic force microscopy for sidewall imaging using torsional resonance mode[J]. Scanning, 2018, 7606037(2018).
[84] Zhang R, Wu S, Liu L et al. Adaptive-angle scanning method for 3D measurement with atomic force microscopy[J]. Measurement Science and Technology, 30, 095005(2019).
[85] Dai G L, Xu L Y, Hahm K. Accurate tip characterization in critical dimension atomic force microscopy[J]. Measurement Science and Technology, 31, 074011(2020).
[86] Orji N G, Dixson R G, Lopez E et al. Wear comparison of critical dimension-atomic force microscopy tips[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 19, 014004(2020).
[87] Rana N, Archie C, Foucher J. Reconciling measurements in AFM reference metrology when using different probing techniques[J]. Proceedings of SPIE, 7971, 797117(2011).
[88] Chen X G, Wang C, Yang T J et al. Inline optical measurement and inspection for IC manufacturing: state-of-the-art, challenges, and perspectives[J]. Laser & Optoelectronics Progress, 59, 0922025(2022).
[89] Zhu H P, Lee Y, Shan H M et al. A maximum contributed component regression for the inverse problem in optical scatterometry[J]. Optics Express, 25, 15956-15966(2017).
[90] Das S, Hung J, Halder S et al. Machine learning for predictive electrical performance using OCD[J]. Proceedings of SPIE, 10959, 109590F(2019).
[91] Wang Q, Liu X H, Wu Q et al. An optical critical dimension (OCD) study with standard structures[C](2023).
[92] Kim Y N, Paek J S, Rabello S et al. Device based in-chip critical dimension and overlay metrology[J]. Optics Express, 17, 21336-21343(2009).
[93] Barnes B M, Silver R M, Schmucker S et al. Extensibility of optics-based metrology for sub-5 nm technology[J]. Proceedings of SPIE, 10585, 1058504(2018).
[94] Schmidt D, Durfee C, Pancharatnam S et al. OCD enhanced: implementation and validation of spectral interferometry for nanosheet inner spacer indentation[J]. Proceedings of SPIE, 11611, 116111U(2021).
[95] Korde M, Kal S, Pereira C et al. Optical characterization of multi-NST nanowire test structures using Mueller matrix spectroscopic ellipsometry (MMSE) based scatterometry for sub 5 nm nodes[J]. Proceedings of SPIE, 10959, 109590X(2019).
[96] Wang C Q, Jones R L, Lin E K et al. Characterization of correlated line edge roughness of nanoscale line gratings using small angle X-ray scattering[J]. Journal of Applied Physics, 102, 024901(2007).
[97] Zhang J H, Chen X G, Yang T J et al. X-ray-based overlay metrology using reciprocal space slicing analysis[J]. Optics Letters, 48, 6380-6383(2023).
[98] Moussa A, Severi J, Lorusso G F et al. High NA EUV: a challenge for metrology, an opportunity for atomic force microscopy[J]. Proceedings of SPIE, 11854, 1185410(2021).
[99] Lorusso G F, Beral C, Bogdanowicz J et al. Metrology of thin resist for high NA EUVL[J]. Proceedings of SPIE, 12053, 120530O(2022).
[100] Moly A, Schuch N, Robert F et al. Self-supervised deep learning neural network for CD-SEM image denoising using reduced dataset[J]. Proceedings of SPIE, 12496, 124961D(2023).
[101] Moussa A, Saib M, Paolillo S et al. Localized power spectral density analysis on atomic force microscopy images for advanced patterning applications[J]. Proceedings of SPIE, 10959, 109591O(2019).
[102] Shao X P, Liu F, Li W et al. Latest progress in computational imaging technology and application[J]. Laser & Optoelectronics Progress, 57, 020001(2020).
[103] Samanta K, Joseph J. An overview of structured illumination microscopy: recent advances and perspectives[J]. Journal of Optics, 23, 123002(2021).
[104] Rodenburg J, Maiden A, Hawkes P W, Spence J C H. Ptychography[M]. Springer handbook of microscopy, 819-904(2019).
[105] Seaberg M D, Zhang B S, Gardner D F et al. Tabletop nanometer extreme ultraviolet imaging in an extended reflection mode using coherent Fresnel ptychography[J]. Optica, 1, 39-44(2014).
[106] Holler M, Guizar-Sicairos M, Tsai E H R et al. High-resolution non-destructive three-dimensional imaging of integrated circuits[J]. Nature, 543, 402-406(2017).
[107] Dettoni F, Bouyssou R, Dezauzier C et al. Enhanced 28 nm FD-SOI diffraction based overlay metrology based on holistic metrology qualification[J]. Proceedings of SPIE, 10145, 101452B(2017).
[108] Jo S, Kim J, Park Y et al. SEM ADI on device overlay: the advantages and outcome[J]. Proceedings of SPIE, 12496, 124960K(2023).
[109] Klinkhamer F, Smeets B, Thijssen T et al. On-scanner high-spatial-frequency overlay control using a distortion manipulator[J]. Proceedings of SPIE, 12051, 120510K(2022).
[110] Park D K, Kim H S, Seo M Y et al. Unique method for controlling device level overlay with high-NA optical overlay technique using YieldStar in a DRAM HVM environment[J]. Proceedings of SPIE, 10585, 105850V(2018).
[111] Koonmen J. Applications products and business opportunity[R](2021).
[112] Yeh F, Chouaib H. Scatterometry and machine learning for in-die overlay solution[J]. Proceedings of SPIE, 12496, 124962R(2023).
[113] Shin J, Kang H, Choi S et al. Study of process contributions to total overlay error budget for sub-60-nm memory devices[J]. Journal of Vacuum Science & Technology B, 25, 2444-2446(2007).
[114] Liu Y L, Chang L T, Hsu K B et al. Investigation of device overlay variation and control metrology in 3D-NAND process[J]. Proceedings of SPIE, 12496, 1249628(2023).
[115] Chen K H, Huang J, Yang W T et al. Litho process control via optimum metrology sampling while providing cycle time reduction and faster metrology-to-litho turn around time[J]. Proceedings of SPIE, 7971, 797105(2011).
[116] Anberg D, Owen D M, Lee B H et al. A study of feed-forward strategies for overlay control in lithography processes using CGS technology[C], 395-400(2015).
[117] Subramany L, Hsieh M, Li C et al. 20 nm MOL overlay case study[J]. Proceedings of SPIE, 9050, 90502Q(2014).
[118] Abramovitz Y, Levin G, Sarig L et al. Accuracy assessment between on-product and on-optical-target overlay metrology with SEM and STEM[J]. Proceedings of SPIE, 11325, 1132508(2020).
[119] Bourguignon T, Le Gratiet B, Pradelles J et al. High spatial frequency on-device overlay characterization using CD-SEM contours[J]. Proceedings of SPIE, 12496, 124960J(2023).
[120] Shih V, Huang J, Wang W et al. A sophisticated metrology solution for advanced lithography: addressing the most stringent needs of today as well as future lithography[J]. Proceedings of SPIE, 7520, 75201A(2009).
[121] Dettoni F, Shapoval T, Bouyssou R et al. Image based overlay measurement improvements of 28 nm FD-SOI CMOS front-end critical steps[J]. Proceedings of SPIE, 10145, 101450C(2017).
[122] Katz S, Ophir B, Shusterman U et al. Machine learning for tool induced shift (TIS) reduction[J]. Proceedings of SPIE, 11325, 113252C(2020).
[123] Tamer M S, van der Lans M, Sadeghian H. Image-based overlay measurement using subsurface ultrasonic resonance force microscopy[J]. Proceedings of SPIE, 10585, 105850O(2018).
[124] Hsieh H C, Cheng J M, Yeh Y C. Optimized wavelength selection for diffraction-based overlay measurement by minimum asymmetry factor variation with finite-difference time-domain simulation[J]. Applied Optics, 61, 1389-1397(2022).
[125] Bhattacharyya K, den Boef A, Noot M et al. A complete methodology towards accuracy and lot-to-lot robustness in on-product overlay metrology using flexible wavelength selection[J]. Proceedings of SPIE, 10145, 101450A(2017).
[126] Yang W H, Lin N, Wei X et al. Improving accuracy and sensitivity of diffraction-based overlay metrology[J]. Chinese Optics Letters, 21, 071204(2023).
[127] Mathijssen S G, Davis T, den Boef A et al. Fundamental understanding of the interplay between target and sensor brings diffraction based overlay to the next level of accuracy[J]. Proceedings of SPIE, 11611, 1161121(2021).
[128] Bhattacharyya K, Ke C M, Huang G T et al. On-product overlay enhancement using advanced litho-cluster control based on integrated metrology, ultra-small DBO targets and novel corrections[J]. Proceedings of SPIE, 8681, 868104(2013).
[129] Matsunobu M, Nishiyama T, Inoue M et al. Novel diffraction based overlay metrology utilizing phase-based overlay for improved robustness[J]. Proceedings of SPIE, 11611, 1161126(2021).
[130] Messinis C, van Schaijk T T M, Pandey N et al. Fast and robust overlay metrology from visible to infrared wavelengths using dark-field digital holographic microscopy[J]. Proceedings of SPIE, 12053, 120530B(2022).
[131] Hasumi K, Inoue O, Okagawa Y et al. SEM-based overlay measurement between via patterns and buried M1 patterns using high-voltage SEM[J]. Proceedings of SPIE, 10145, 101451J(2017).
[132] Wu C Z, Xu D Y, Yuan H et al. Hybrid overlay control solution with CDSEM and optical metrology[J]. Proceedings of SPIE, 11611, 116111G(2021).
[133] Katz S, Grauer Y, Megged E. Optical overlay metrology trends in advanced nodes[J]. Proceedings of SPIE, 12053, 120530N(2022).
[134] Weiss M. Overlay challenges in the era of high-NA[J]. Proceedings of SPIE, 12496, 1249603(2023).
[135] van Dijk L, Adal K M, Chastan M et al. Excursion detection and root-cause analysis using virtual overlay metrology[J]. Proceedings of SPIE, 11611, 1161132(2021).
Get Citation
Copy Citation Text
Jing Cao, Wenhe Yang, Zexu Liu, Yunyi Chen, Xin Wei, Nan Lin. Controlling Edge Placement Error in Extreme Ultraviolet Lithography[J]. Chinese Journal of Lasers, 2024, 51(7): 0701005
Category: laser devices and laser physics
Received: Dec. 1, 2023
Accepted: Jan. 8, 2024
Published Online: Mar. 22, 2024
The Author Email: Lin Nan (nanlin@siom.ac.cn)
CSTR:32183.14.CJL231470