High Power Laser Science and Engineering, Volume. 11, Issue 5, 05000e64(2023)

Spectral purity systems applied for laser-produced plasma extreme ultraviolet lithography sources: a review

Nan Lin1,2、*, Yunyi Chen1,2, Xin Wei1,2, Wenhe Yang1,2, and Yuxin Leng2、*
Author Affiliations
  • 1School of Microelectronics, Shanghai University, Shanghai, China
  • 2Department of Precision Optics Engineering, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai, China
  • show less
    Figures & Tables(24)
    Schematic of the LPP-EUVL source system. Reprinted from Ref. [7].
    Role of the collector in the light source system: the collector collects radiations and reflects them to the IF for subsequent optical path propagation. Reprinted from Ref. [13].
    Calculated reflectance of the 50-bilayer Mo/Si multilayer coating of 6.9 nm periodicity. Reprinted from Ref. [15].
    The black border’s influence on CD errors of the corners and edges of the adjacent field. Reprinted from Ref. [25].
    EUVL system with possible locations for the transmissive SPF.
    Grid transmissive SPF of IR suppression: (a) scanning electron microscopy image of the grid after etching, (b) grid SPF after backside wet etching, (c) grid SPF with a diameter of 90 mm installed for measurement and (d) grid SPF installed in the LPP source system. Adapted from Ref. [19].
    DGL's location and its influence on outgassing suppression. Reprinted from Ref. [31].
    OoB suppression performance with DGLm: (a) complete suppression of DUV radiation (31].
    Collector with a grating structure from Gigaphoton, Inc. Reprinted from Ref. [15].
    Schematic of the IR suppression design with the collector integrated with the rectangular substrate grating. Adapted from Ref. [47].
    Schematic of the rectangular multilayer grating.
    Schematic of the blazed multilayer grating.
    2D pyramid multilayer grating on the collector: (a) design of the multilayer pyramids; (b) UV-suppression performance of Si pyramids and the Mo/Si multilayer mirror in (a); (c) EUV performance comparison of Si pyramids and the Mo/Si multilayer mirror in (a). Adapted from Refs. [53,60].
    Design of the rectangular substrate grating: (a) schematic of 1D rectangular substrate grating; (b) schematic of 2D rectangular substrate grating by IOF. Adapted from Refs. [53,62].
    (a) AFM image of diamond-turned patterns and (b) the mechanical polished surface of (a). Reprinted from Ref. [49].
    HSFR results (AFM) of adding a smoothing layer by Rigaku: (a) diamond-turned surface sample; (b) smoothed diamond-turned surface sample; (c) 0.14–0.29 nm rms over 2.2 μm scans; (d) 0.29–0.39 nm rms over 8.7 μm scans of the grating surface. Adapted from Refs. [8,15].
    Different measurements for roughness at different spatial frequencies. Adapted from Ref. [70].
    WLI analysis of a dual-layer rectangular substrate grating structure by the IOF. Reprinted from Ref. [62].
    ARS instrument ALBATROSS for scattering measurements in the UV-VIS-IR range. Components include laser sources (1), mechanical chopper for lock-in amplification (2), attenuation filters (3), beam preparation optics (4), polarizer (5), sample (6) and detector (7). Adapted from Ref. [62].
    EUV-ARS for the characterization of nanometre structures exposed by PTB. Reprinted from Ref. [87].
    Mechanics of the EUV reflectometer by PTB. Reprinted from Ref. [89].
    Schematic of the IR suppression test stand. Reprinted from Ref. [8].
    (a) Schematic of the collector integrated with the blazed substrate grating and (b) schematic of the collector with power recycling mirrors. Reprinted from Refs. [96,97].
    • Table 1. Radiations in the EUVL light source[14].

      View table
      View in Article

      Table 1. Radiations in the EUVL light source[14].

      RadiationWavelength (nm)
      (In-band) EUV13.5 ± 2%
      EUV-OoB5–70 excluding (in-band) EUV
      VUV70–130
      DUV130–400
      VIS400–800
      IR>800
    Tools

    Get Citation

    Copy Citation Text

    Nan Lin, Yunyi Chen, Xin Wei, Wenhe Yang, Yuxin Leng. Spectral purity systems applied for laser-produced plasma extreme ultraviolet lithography sources: a review[J]. High Power Laser Science and Engineering, 2023, 11(5): 05000e64

    Download Citation

    EndNote(RIS)BibTexPlain Text
    Save article for my favorites
    Paper Information

    Category:

    Received: Mar. 22, 2023

    Accepted: Jun. 20, 2023

    Published Online: Oct. 7, 2023

    The Author Email: Nan Lin (nanlin@siom.ac.cn), Yuxin Leng (lengyuxin@mail.siom.ac.cn)

    DOI:10.1017/hpl.2023.53

    Topics