Infrared and Laser Engineering, Volume. 52, Issue 12, 20230285(2023)
Research progress of excimer laser annealing in semiconductor integrated circuit manufacturing
[3] [3] Felch S, Bl J, Fang Z, et al. Optimized BF3P2 LAD implantation with SiPAI f shallow, abrupt high quality p+n junctions fmed using low temperature SPE annealing [C]Ion Implantation Technology, Proceedings of the 14th International Conference, 2002: 5255.
[6] [6] Osburn C M, Downey D F, Felch S B, et al. Ultrashallow junction fmation using very low energy B BFsub 2 sources [C]Proceedings of 11th International Conference on Ion Implantation Technology, 1996: 607610.
[7] [7] Shigeo Onishi, Kenichi Tanaka, Keizo Sakiyama. A new method f evaluating temperature distribution by using Si + + B + implantation [C]Proceedings of SPIE, 1990, 1189: 8388.
[9] [9] Murto R, Jones K, Rendon M, et al. Activation deactivation studies of laser thermal annealed bon, arsenic, phosphus, antimony ultrashallow abrupt junctions [C]International Conference on Ion Implantation Technology Proceedings. Ion Implantation Technology2000 (Cat. No. 00EX432), 2000: 155158.
[10] P Baeri, E Rimini. Laser annealing of silicon. Materials Chemistry and Physics, 46, 169-177(1996).
[11] [11] Talwar S, Verma G, Weiner K H. Ultrashallow, abrupt, highlyactivated junctions by lowenergy ion implantation laser annealing[C]1998 International Conference on Ion Implantation Technology. Proceedings (Cat. No. 98EX144), 1998: 11711174.
[12] [12] Yu Bin, Wang Yun, Wang Haihong, et al. 70 nm MOSFET with ultrashallow, abrupt, superdoped SD extension implemented by laser thermal process (LTP)[C]International Electron Devices Meeting 1999. Technical Digest (Cat. No. 99CH36318), 1999: 509512.
[13] [13] Goto K, Yamamoto T, Kubo T, et al. Ultralow contact resistance f decanm MOSFETs by laser annealing [C]International Electron Devices Meeting 1999. Technical Digest (Cat. No. 99CH36318), 1999: 931933.
[14] C D Lindfors, K S Jones, M E Law, et al. Boron activation during solid phase epitaxial regrowth. MRS Online Proceedings Library (OPL), 610, B10-B12(2000).
[16] [16] Fung S K H, Huang H T, Cheng S M, et al. 65 nm CMOS high speed, general purpose low power transist technology f high volume foundry application [C]Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004: 9293.
[17] [17] Hervé Besaucèle, Audrey Ad, Franois Beau, et al. High energy excimer laser system f nanosecond annealing of semiconduct devices [C]Proceedings of SPIE, 2019, 11042: 110420S.
[18] [18] Talwar S, Verma G, Weiner K H, et al. Laser thermal processing f shallow junction silicide fmation [C]Proceedings of SPIE, 1998, 3506: 7481.
[19] [19] Felch S B, Downey D F, Arevalo A, et al. Submelt laser annealing followed by lowtemperature RTP f minimized diffusion [C]2000 International Conference on Ion Implantation Technology Proceedings. Ion Implantation Technology2000 (Cat. No. 00EX432), 2000: 167170.
[20] [20] Talwar S, Markle D, Thompson M O. Junction scaling using lasers f thermal annealing [J]. Solid State Technology 2003, 46(7), 8384, 86, 88.
[21] [21] Pouydebasque A, Dumont B, Denme S, et al. High density high speed SRAM bitcells ring oscillats due to laser annealing f 45 nm bulk CMOS [C]IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest, 2005: 663666.
[22] [22] Yamamoto T, Kubo T, Sukegawa T, et al. Junction profile engineering with a novel multiple laser spike annealing scheme f 45nm node high perfmance low leakage CMOS technology [C]2007 IEEE International Electron Devices Meeting, 2007: 143146.
[24] [24] Linder B P, Dasgupta A, o T, et al. Process optimizations f NBTIPBTI f future replacement metal gate technologies [C]2016 IEEE International Reliability Physics Symposium (IRPS), 2016: 1B4B.
[25] [25] Liu Y, Gluschenkov O, Li J, et al. Strained Si channel MOSFETs with embedded silicon carbon fmed by solid phase epitaxy [C]2007 IEEE Symposium on VLSI Technology, 2007: 4445.
[26] [26] Narasimha S, Chang P, toll C, et al. 22 nm highperfmance SOI technology featuring dualembedded stresss, EpiPlate HighK deeptrench embedded DRAM selfaligned via 15LM BEOL [C]2012 International Electron Devices Meeting, 2012: 3.3.13.3.4.
[29] [29] Liu Z, Gluschenkov O, Niimi H, et al. Dual beam laser annealing f contact resistance reduction its impact on VLSI integrated circuit variability [C]2017 Symposium on VLSI Technology, 2017: T212T213.
[33] M J Smith, Y T Lin, M J Sher, et al. Pressure-induced phase transformations during femtosecond-laser doping of silicon. Journal of Applied Physics, 110, 053524(2011).
[35] [35] Frank M M, Cartier E A, Lavoie C, et al. Crystallization of hafniumoxidebased ferroelectrics f BEOL integration [C]2022 6th IEEE Electron Devices Technology & Manufacturing Conference (EDTM). IEEE, 2022: 316318.
[38] Yizhe Wang, Xuehao Yu, Molin Liu, . Study on light source of low jitter excimer laser amplifier. Infrared and Laser Engineering, 52, 20220468(2023).
[39] [39] Scott J C, Gluschenkov O, Goplen B, et al. Reduction of RTAdriven intradie variation via modelbased layout optimization [C]2009 Symposium on VLSI Technology, 2009: 152153.
[40] [40] Miyashita T, Kubo T, Kim Y S, et al. A study on millisecond annealing (MSA) induced layout dependence f flash lamp annealing (FLA) laser spike annealing (LSA) in multiple MSA scheme with 45 nm highperfmance technology [C]2009 IEEE International Electron Devices Meeting (IEDM), 2009: 14.
[44] [44] Ni C N, Rao K V, Khaja F, et al. Ultralow NMOS contact resistivity using a novel plasmabased DSS implant laser anneal f post 7 nm nodes [C]2016 IEEE Symposium on VLSI Technology, 2016: 12.
[45] [45] Tabata T, Aubin J, Huet K, et al. Super activation of highly surface segregated dopants in high Ge content SiGe obtained by melt UV laser annealing [C]22nd International Conference on Ion Implantation Technology (IIT), 2018: 353356.
[46] [46] Tabata T, Aubin J, Huet K, et al. Impact of solidification velocity on activation of Ga, In, Al segregated in high Ge content SiGe by UV melt laser anneal [C]2019 Electron Devices Technology Manufacturing Conference (EDTM), 2019: 130132.
[48] [48] Everaert J L, Schaekers M, Yu H, et al. Sub10−9 Ω·cm2 contact resistivity on pSiGe achieved by Ga doping nanosecond laser activation [C]2017 Symposium on VLSI Technology, 2017: T214T215.
[52] [52] van Dal M J H, Vellianitis G, Donbos G, et al. Ge CMOS gate stack contact development f Vertically Stacked Lateral Nanowire FETs[C]2018 IEEE International Electron Devices Meeting (IEDM), 2018: 21.1.121.1.4.
[55] [55] Hung R, Khaja F A, Hollar K E, et al. Novel solutions to enable contact resistivity 1E9 Ωcm2 f 5 nm node beyond [C]2018 International Symposium on VLSI Technology, Systems Application (VLSITSA), 2018: 12.
[56] [56] Lee R T P, Petrov N, Kassim J, et al. Nanosecond laser anneal f BEOL perfmance boost in advanced FinFETs[C]2018 IEEE Symposium on VLSI Technology, 2018: 6162.
[57] [57] Batude P, FenouilletBeranger C, Pasini L, et al. 3 DVLSI with CoolCube process: An alternative path to scaling [C]2015 Symposium on VLSI Technology (VLSI Technology), 2015: T48T49.
[58] [58] FenouilletBeranger C, Batude P, Bru L, et al. Recent advances in 3D VLSI integration [C]2016 International Conference on IC Design Technology (ICICDT), 2016: 14.
[59] [59] Bosch D, Alba P A, Kerdiles S, et al. Laser processing f 3D junctionless transist fabrication [C]2019 IEEE SOI3DSubthreshold Microelectronics Technology Unified Conference (S3S), 2019: 13.
[60] J Derakhshandeh, Mofrad M R Tajari, R Ishihara, et al. A study of the CMP effect on the quality of thin silicon films crystallized by using the μ-Czochralski process. Journal of the Korean Physical Society, 432-436(2009).
[61] R Ishihara, der Wilt P C van, Dijk B D van, et al. Location-control of large grains by μ-czochralski (grain filter) process and its application to single-crystalline silicon thin-film transistors. Thin Solid Films, 427, 77-85(2003).
[62] [62] Lisoni J G, Arreghini A, Congedo G, et al. Laser thermal anneal of polysilicon channel to boost 3D memy perfmance [C]2014 Symposium on VLSI Technology (VLSITechnology): Digest of Technical Papers, 2014: 12.
[63] K Huet, C Boniface, R Negru, et al. Ultra low thermal budget anneals for 3D memories: Access device formation. AIP Conference Proceedings, 1496, 135-138(2012).
[64] [64] Congedo G, Arreghini A, Liu L, et al. Analysis of perfmancevariability tradeoff in Macaronitype 3D N memy [C]2014 IEEE 6th International Memy Wkshop (IMW), 2014: 14.
[66] G Fortunato, L Mariucci, M Stanizzi, et al. Ultra-shallow junction formation by excimer laser annealing and low energy (<1 keV) B implantation: A two-dimensional analysis. Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 186, 401-408(2002).
[69] [69] Aid S R, Rashid N N M, Jonny N F A, et al. Preliminary study on laser annealed NP Junction in phosphus implanted germanium [C]2020 IEEE International Conference on Semiconduct Electronics (ICSE). IEEE, 2020: 152155.
[70] [70] Tabata T, Raynal P E, Huet K, et al. Segregation activation of Sb implanted in Si by UV nanosecondlaserannealinduced nonequilibrium solidification[J]. Journal of Applied Physics, 2020, 127(13): 135701.
[73] [73] Bl J, Qin S, Oesterlin P, et al. High mobility Gechannel fmation by localizedive liquid phase epitaxy (LPE) using Ge+B plasma ion implantation laser melt annealing [C]2013 13th International Wkshop on Junction Technology (IWJT), 2013: 4953.
[77] [77] FenouilletBeranger C, AcostaAlba P, Mathieu B, et al. Ns laser annealing f junction activation preserving intertier interconnections stability within a 3D sequential integration [C]2016 IEEE SOI3DSubthreshold Microelectronics Technology Unified Conference (S3S), 2016: 12.
[78] [78] Jourdan N, Roze F, Tabata T, et al. UV nanosecond laser annealing f Ru interconnects [C]2020 IEEE International Interconnect Technology Conference (IITC), 2020: 163165.
[79] [79] Usami Y, Imokawa K, Nohdomi R, et al. Change in resistivity of fine metal line by KrF excimer laser annealing [C]2022 IEEE International Interconnect Technology Conference (IITC), 2022: 108110.
[80] [80] Rajendran B, Jain S H, Kramer T A, et al. Thermal simulation of laser annealing f 3D integration [C]Proceedings VMIC, 2003: 16.
[81] [81] Voen A, Wu Z, Parihar N, et al. 3D sequential low temperature top tier devices using dopant activation with excimer laser anneal strained silicon as perfmance boosters [C]2020 IEEE Symposium on VLSI Technology, 2020: 12.
[82] [82] FenouilletBeranger C, Mathieu B, Previtali B, et al. New insights on bottom layer thermal stability laser annealing promises f high perfmance 3D VLSI [C]2014 IEEE International Electron Devices Meeting, 2014: 2527.
[83] [83] Cavalcante C, FenouilletBeranger C, Batude P, et al. 28 nm FDSOI CMOS technology (FEOL BEOL) thermal stability f 3D sequential integration: yield reliability analysis [C]2020 IEEE Symposium on VLSI Technology, 2020: 12.
[84] [84] Lisoni J G, Arreghini A, Congedo G, et al. Laser thermal annealneal of polysilicon channel to boost 3D memy perfmance [C]2014 Symposium on VLSI Technology (VLSITechnology): Digest of Technical Papers, 2014: 12.
[85] [85] Congedo G, Arreghini A, Liu L, et al. Analysis of perfmancevariability tradeoff in Macaronitype 3D N memy [C]2014 IEEE 6th International Memy Wkshop (IMW), 2014: 14.
Get Citation
Copy Citation Text
Xuehao Yu, Xiaodong Fang, Libing You, Yizhe Wang, Molin Liu, Hao Wang. Research progress of excimer laser annealing in semiconductor integrated circuit manufacturing[J]. Infrared and Laser Engineering, 2023, 52(12): 20230285
Category:
Received: May. 10, 2023
Accepted: --
Published Online: Feb. 23, 2024
The Author Email: