Chinese Journal of Lasers
Co-Editors-in-Chief
Ruxin Li
Ruxin Li, Xiaoshi Zhang, Zhinan Zeng, and Minglie Hu

Apr. 10, 2024
  • Vol. 51 Issue 7 0700101 (2024)
  • Zijuan Wei, Xize Gao, Xiangyu Meng, Zhengyan Li, Qingbin Zhang, Pengfei Lan, and Peixiang Lu

    SignificanceAs a desktop-level extreme ultraviolet (EUV) coherent light source, high harmonic generation (HHG) becomes an indispensable tool in fundamental science fields such as atomic and molecular physics, biomedicine, materials chemistry, and precision spectroscopy. The maximum photon energy of high harmonics in gas extends to the soft X-ray spectral range. Based on the appropriate gating technique of high harmonics, it is possible to generate isolated attosecond pulses with tens of attoseconds pulse widths, providing feasibility for the study of electron motion in atomic and molecular systems on the attosecond time scale. In addition to being critical in basic science, HHG also serves as a coherent light source with wide industrial applications, especially in integrated circuit manufacturing and imaging detection in biomedicine. High harmonic extreme ultraviolet light sources for industrial applications require both high photon energy (100?500 eV) and higher average power (above mW). To obtain a shorter wavelength high harmonic, the mid-infrared femtosecond laser, combined with nonlinear pulse compression technology, realizes the output of keV photon energy harmonics. The shorter wavelength aids in improving imaging resolution and covering the absorption edge of high atomic number materials, which can be used for extreme ultraviolet spectrum analysis. To improve the average power of higher harmonics, it is better on one hand to use the higher repetition rate and higher power driving laser. On the other hand, improving the conversion efficiency of high harmonics is necessary, which can be realized by controlling the macroscopic propagation process of high harmonics to achieve phase matching.ProgressIn this study, we focus on the process of producing high harmonics directly in a single pass driven by high repetition rate lasers, and introduce the progress in repetition rate, single pulse energy, and average power improvement of HHG extreme ultraviolet light sources. The paper organizes in the following way: after a brief introduction in the first section, which includes the HHG three-step model, the second section reviews the work on HHG sources driven by high repetition rate lasers in recent years, with the femtosecond fiber laser being the main pump source for producing high repetition rate HHG. The main parameters from these experiments are listed in Table 1. With the development of femtosecond fiber laser techniques, such as nonlinear compression, coherent combination, and optical parametric chirped pulse amplification (OPCPA), high harmonic sources are evolving towards higher photon flux, higher cutoff photon energy, and higher repetition rates. Figures 1 and 2 present the experimental device diagrams and spectra of two significant high repetition HHG works. Figure 3 shows the distribution of the main optical parameters of HHG extreme ultraviolet sources driven by the most advanced fiber laser described in this section.The third section discusses the key to improving high harmonic conversion efficiency, namely, phase matching in the macroscopic propagation process of HHG. By discussing the wave vector mismatch between the fundamental field and the high harmonic field, we determine how the phase-matched HHG photon energy threshold is influenced by different gas medium types, wavelengths, and pulse lengths of the driving laser, as shown in Fig.4. Considering the effect of nonlinear gas medium absorption, the effective phase matching conditions are presented in Fig.5. We introduce the scaling law that keeps HHG conversion efficiency constant by adjusting the global physical quantity under different focusing conditions, which is well utilized in the HHG experimental parameters design under tight focusing conditions for femtosecond fiber or disk lasers with high average power and relatively small pulse energy, as listed in Table 2. Then, combined with effective phase matching conditions and the scaling law, the macroscopic propagation process of two different bands of HHG in high-repetition-rate experiments is briefly discussed, as illustrated in Figs.6 and 7.In section four, we introduce the main imaging technologies based on the extreme ultraviolet HHG source currently in use. Three different coherent diffraction imaging (CDI) techniques, conventional CDI for isolated samples, Fourier-transform holography (FTH), and ptychography are discussed in this section, as shown in Fig.8. The phase retrieval algorithm in the standard data processing procedure for CDI is also briefly introduced, as shown in Fig.9. Finally, we discuss EUV coherence tomography (ECT) technology used for object depth information detection. Figures 10 and 11 are sample reconstructions of ptychography and ECT, respectively.Conclusions and ProspectsWith the advancement of high repetition rate and high power femtosecond laser technology, the repetition rate and photon flux of high harmonic sources continuously improve. The limitations of high power femtosecond fiber and solid-state lasers, such as long pulse widths, low single pulse energy, and narrow tuning ranges, are being overcome compared to the traditional Ti∶sapphire solid-state femtosecond laser. Various nonlinear compression techniques enable the compression of femtosecond fiber laser pulse widths to just a few cycles. With coherent combination technology, the pulse energy of high repetition rate femtosecond lasers can reach the tens of mJ level. OPCPA technology allows for tuning the driving laser wavelength over a wide range. By controlling the laser intensity and wavelength at the single-atom response level, and adjusting the self-absorption and phase matching of high harmonics during the macroscopic propagation process, new laser technologies now enable the production of extreme ultraviolet coherent light sources with the highest average power of 10 mW, the maximum photon energy of 100 eV, and the highest repetition rate of tens of MHz. Through high-repetition and high-flux extreme ultraviolet coherent sources, HHG is branching into various application scenarios beyond the scientific research laboratory, especially in the field of imaging detection. Coherent diffraction imaging and coherent tomography can achieve high spatial and material resolution of nanoscale three-dimensional structures, both transversely and longitudinally. Consequently, imaging technology and instruments based on the high photon flux HHG source are anticipated to find applications in the fields of integrated circuit manufacturing, nanomaterials, biomedicine, and more.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701001 (2024)
  • Ji Wang, and Kun Zhao

    SignificanceThe extreme-ultraviolet high-harmonic light source has attracted significant attention in electron dynamics because of its strong coherence, short pulse duration, and high photon energy. It has been applied in various spectroscopy and imaging studies. Using a high repetition rate, high photon flux, narrow linewidth, femtosecond extreme-ultraviolet-light source (1 fs=10-15 s) enables direct observation of conduction band structures and femtosecond-scale electron dynamics in materials. Furthermore, processes such as electron tunneling and molecular dissociation can be investigated extensively using a broadband attosecond light source. In recent years, the development of water window spectral-range wide-spectrum attosecond light sources has facilitated the detection of reaction pathways between molecules and the motion of charge carriers on material surfaces. By applying electron and ion detection techniques, time-resolved coherent measurements and other attosecond transient spectroscopy studies have been conducted. For attosecond-scale electron spectroscopy measurements, the number of events in a single-shot measurement is often insufficient, making low-repetition-rate light sources inadequate for obtaining reliable statistical data. Therefore, it is necessary to use high-repetition-rate extreme-ultraviolet-light sources.The efficiency and photon number per pulse of high-repetition-rate high-harmonic generation are significantly lower than those of low-repetition-rate high-harmonic generation. The single-pulse energy of high-repetition-rate driving lasers is lower than that of low-repetition-rate driving lasers; therefore, tight focusing is required to achieve the high-intensity field necessary for high harmonic generation. However, the interaction region of the laser and gas is small during tight focusing, making it relatively difficult to achieve the required phase-matching conditions. Consequently, the conversion efficiency of high-repetition-rate high-harmonic generation is low. Various methods have been developed in terms of driving laser, high-harmonic-generation methods, and beamline design to improve the photon flux of high-repetition-rate high-harmonic generation.The use of extreme-ultraviolet high-harmonic light sources during experiments requires the presence of a monochromator or spectrometer. A spectrometer with high acquisition efficiency and high resolution is required to optimize high harmonic generation by adjusting the interaction configuration and characterize energy level transitions using attosecond transient absorption spectroscopy. In pump-probe experiments for dynamics research, a femtosecond extreme-ultraviolet-light source with good energy and time resolutions is required. A monochromator is required to select individual orders of high harmonics, achieving energy resolution control and minimizing the time broadening caused by the monochromator. Significant efforts have been made in beamline design to use extreme-ultraviolet high-harmonic-light sources in physics experiments.ProgressCurrently, extreme-ultraviolet high-harmonic light sources are advancing towards higher photon fluxes and repetition rates, which places higher demands on the repetition rate and single-pulse energy of femtosecond lasers. Chiang et al. used a long-cavity titanium sapphire laser to increase the repetition rate of the driving laser to 4 MHz and achieved high harmonic output. In 2015, H?drich et al. used a fiber laser to increase the repetition rate of high harmonics to 10.7 MHz. The highest average power of femtosecond lasers has now exceeded 10 kW.The generation and optimization of high harmonics have been ongoing research topics. Using high-performance and high-repetition-rate lasers with high energy and few-cycle pulse length can prevent tight focusing and achieve high harmonic generation efficiency using fundamental-frequency-driving light. Csizmadia et al. directly generated high harmonics using a few-cycle 1030 nm driver laser with a repetition rate of 100 kHz and obtained a high-photon-flux extreme-ultraviolet-light source. By applying pulse compression, shorter pulse high-repetition-rate driving light can more easily achieve the peak power density required for high harmonic generation, thus achieving higher efficiency than long-pulse driving light. Wang et al. applied dual-color field-assisted pulse compression to obtain a high-photon-flux extreme-ultraviolet-light source. High-repetition-rate high-harmonic light sources above the MHz level require field-enhanced methods for generation. Among them, resonant enhancement cavities have been applied to time- and angle-resolved photoemission spectroscopy (Tr-ARPES) beamlines. Mills et al. used a fiber laser with a repetition rate of 60 MHz to obtain a high-photon-flux extreme ultraviolet-light source.Monochromators and spectrometers are essential instruments for applying extreme ultraviolet-light sources. Rohde et al. used a metal film as a monochromatizing device while compressing the pump light, and the comprehensive performance of the compressed light source can approach the Fourier transform limit of extreme ultraviolet (XUV). Wang et al. developed an approach to reduce the pulse front tilt by adding slits at the defocused plane, taking advantage of the spatial distribution characteristics of forward-tilted pulses. Csizmadia et al. designed a transmission scheme using two off-plane mount (OPM) monochromators, with the first monochromator used to adjust the line width of XUV and the second monochromator used to compensate for the pulse front tilt generated by the grating. This design is used to almost completely compensate for the pulse front tilt generated by the grating.Conclusions and ProspectsHigh-repetition-rate extreme-ultraviolet-light sources have been widely used in electron dynamics research and have potential for applications in attosecond spectroscopy and microscopic imaging. These light sources are evolving towards increased repetition rates, photon fluxes, photon energies, and decreased pulse durations. This review summarizes the generation and control of high-repetition-rate extreme-ultraviolet-light sources and the optimization of their resolving capability for applications. Future development trends of such light sources are also discussed.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701002 (2024)
  • Jiacan Wang, Fan Xiao, Xiaowei Wang, Li Wang, Wenkai Tao, Lingyi Zhao, Xi ao Li, and Zengxiu Zhao

    SignificanceIn 2023, Pierre Agostini, Ference Krausz and Anne L'Huillier had been awarded the Nobel Prize in Physics for their contribution in experimental methods of generating attosecond pulses of light for the study of electron dynamics in matter. Based on their pioneering work of high harmonics generation (HHG), generation and characterization of attosecond pulse trains (APTs) and isolated attosecond pulses (IAPs), a whole new physics research field named attosecond science was opened up. With the rapid development of attosecond science in the past two decades, extremely short IAPs have been generated and applied in photon spectroscopy and attosecond transient absorption spectroscopy (ATAS), providing researchers more powerful tool to study the ultrafast electron dynamics in atoms, molecules and condensed matter than ever with attosecond temporal resolution. These ultrafast processes include the photoionization time delay in atoms, ionization difference of polar and non-polar molecules, electrons migration in multi-atomic molecules, measurement of Auger decay process, inner-shell transition and probing of multielectron dynamics.ProgressThanks to the progress of the ultrafast laser techniques as pumping lasers, multiple methods for gating, and fine spectral chirp for compensation in the past two decades, the spectrum of the IAP has expanded from tens of electron volts to hundreds of electron volts and its pulse duration record is getting compressed. Although many research groups have succeeded in achievement of broadband spectrum and appropriate dispersion compensation, generating sub-100 as (1 as=10-18 s) IAP with world record 43 as, precise characterization is the basis of further study and applications of IAP. Firstly in 2001, the reconstruction of attosecond beating by interference of two-photon transitions (RABBITT) and model analysis method were proposed independently for characterization of half-cycle separated 250 as duration APTs and IAP with 650 as pulse duration respectively.For the accurate measurement of such short attosecond pulses, the attosecond streaking camera scheme is adopted from the femtosecond pulses measurement in 2002. Based on the cross-correlation scheme, the IAP photoionized electrons are modulated in the presence of the delay controllable near-infrared (NIR) light field. And both the spectral phase and intensity distribution of IAP and NIR are encoded in the detected frequency and delay time two-dimensional measurement, denoted as spectrogram, which permits full reconstruction of the IAP and NIR.Based on the attosecond streaking camera, many techniques have been proposed to retrieve the spectral phase and then reconstruct the temporal electric field of IAP and NIR. Developed by Mairesse et al., the frequency-resolved optical gating for complete reconstruction of attosecond bursts (FROG-CRAB) is commonly used for attosecond pulse characterization. But it uses high intensity streaking fields, resulting in the above-threshold ionized electrons that could overlap with streaked electrons. Much worse is the central momentum approximation (CMA) used to apply the iterative algorithms in femtosecond laser measurement, which limits the IAP bandwidth to few electron volts. For circumventing the CMA, Chini et al. proposed the phase retrieval by omega oscillation filtering (PROOF) for broader bandwidth and shorter IAP. PROOF applies weak field approximation (WFA) to modulate the photoelectrons and therefore focuses on the oscillation component of the dressing laser frequency, while WFA limits the streaking and retrieval application and its genetic algorithm has the problems of huge time cost and fatal shortcomings of multiple solutions in the iterative process. The quick version of PROOF (qPROOF) proposes a new error function to improve the retrieval accuracy and can be solved by the steepest descent method, improving the speed 5000 times faster than genetic algorithm. Moreover, qPROOF algorithm is numerically tested and proved to be robust against the pulse duration and intensity of streaking NIR, time-of-flight (TOF) electron detection noise, pump-probe delay jitter and large scanning step.Multiple methods also have been proposed to avoid the CMA, WFA and slowly varying envelope approximation. The Volkov transform generalized projections algorithm (VTGPA) based on the Volkov states is developed to bypass the commonly used Fourier transform, making this method more applicable for complex IAP electric field waveform. Also, many groups have come up with novel approaches such as phase retrieval of broadband pulse (PROBP) and PROBP-autocorrelation (PROBP-AC), as well as ptychographic algorithm for attosecond reconstruction, and even the neural network and machine learning techniques are adopted to inject new solutions for attosecond measurement.Conclusions and ProspectsSince the advent of IAP generation, extensive efforts have been devoted to IAP experimental generation, measurement and characterization algorithm research mainly based on attosecond streaking camera scheme, paving the way for further attosecond application, such as ATAS and attosecond photoelectron spectroscopy.With the development and application of high-repetition, high pulse energy mid-infrared laser, the attosecond streaking camera faces theoretical flaws as its energy resolution and photoionization cross-section of the gas medium decrease with the increase of photon energy. Also streaking camera based characterization algorithm should be verified and developed under these novel experimental conditions. Both theoretically and experimentally, there is urgent need for a new approach to accurately characterize the spectral and temporal properties of IAP with the latest driving laser and measurement techniques. And the single shot measurement and characterization of IAP is also of vital importance in high-energy laser drive facility with relatively lower repetition rate.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701003 (2024)
  • Jian Gao, and Jian Wu

    SignificanceHigh-order harmonics generated by ultrashort intense laser pulses interacting with matter represent a significant advance in the production of coherent extreme ultraviolet (EUV) or soft X-ray sources, and they also lead to the generation of attosecond pulse trains in the time domain. A single isolated attosecond burst could be obtained by various gating techniques. Such a promising source can be widely used in applications such as diagnosis of ultrafast dynamical processes, coherent diffractive imaging (CDI) with high resolution, probing of magnetic materials, and mask defect inspection. The exploration of more efficient harmonic generation schemes in different media has been a fascinating research topic. The employment of low-density plasma plumes subject to intense femtosecond laser pulses has allowed the extension of high-order harmonics to arbitrary solids, greatly enriching the selectivity of the materials. Due to the resonance of a specific harmonic with the ionic transition possessing strong oscillator strength, intensely monochromatic high-order harmonics can be produced in the EUV region. In addition, the resonance-enhanced harmonic can be tuned by laser wavelength, chirp, two-color field and target structure. By taking advantage of the near-field enhancement and the large recombination cross section of the nanoparticles, the conversion efficiency of the EUV harmonics can be further improved.ProgressThe first observation of resonance-induced enhancement of the single harmonic generated in the plasma was made in 2006, in which a very strong intensity of the H13 harmonic from the In plasmas was two orders of magnitude higher than neighboring orders. In the same year, the enhancement of the H17 harmonic in Sn plasmas was also demonstrated. The typical resonance-enhanced harmonic spectra are shown in Figs. 3, 7 and 9. The other plasmas produced by Mn, Cr, Sb and Zn are enhanced at H31, H29, H21 and H9, respectively. The "four-step" model can be used to interpret the peculiarly resonant harmonic, as illustrated in Fig. 5. The last step of the electrons in the "three-step" model of gas harmonics is replaced by the radiationless transition to the autoionizing state and relaxation to the ground state with EUV emission. The highest cut-off order H101 harmonic (7.9 nm) was obtained in the Mn plasmas. The conversion efficiency of the resonance-induced enhancement of the monochromatic harmonic has approached 10-4. In order to improve the conversion efficiency of the harmonics in the EUV region, the nanoparticle-containing plasmas are utilized, as shown in Figs. 3 and 7. Since the generation process is dominated by neutral atoms, it is difficult to enhance the higher harmonic orders. By adopting different laser chirps, two-color field, mixed targets and multi-jet plasmas, the resonant wavelength, harmonic cut-off order and harmonic intensity can be tuned. In addition, high-order harmonics can be controlled using structured vortex and vector beams, as presented in Figs. 8‒13. The calculated attosecond pulse trains with a duration of 240 as in the laser-produced In plasmas and the experimentally measured attosecond pulse trains with a duration of 300 as in the Cr plasma plume were obtained. The metallic cylindrical rod was mounted on a rotating holder, which can achieve stable harmonic radiation in more than 106 shots under 1 kHz laser pulses (Fig. 14).Conclusions and ProspectsIn this article, we review the mechanism and research progress in the resonance-induced enhancement of the single harmonic from a laser-produced plasma. Many efforts have been made to tune the resonance wavelength, extend the cut-off order, increase the conversion efficiency and improve the harmonic stability. To be an applicable EUV source, the plasma harmonics must be generated with high photon flux and high repetition rate. This should be greatly improved if the nanoparticles interact with the laser pulses at high repetition rate through some techniques. We also expect that the gating techniques can be applied to produce isolated single attosecond pulse.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701004 (2024)
  • Jing Cao, Wenhe Yang, Zexu Liu, Yunyi Chen, Xin Wei, and Nan Lin

    SignificanceExtreme ultraviolet (EUV) lithography is considered to be the most promising technology for fabricating technology nodes of 7 nm and below in high volume manufacturing (HVM). Due to the shrinkage of semiconductor device features and the increase in process complexity, achieving an acceptable yield is challenging. Edge placement error (EPE) quantifies the pattern fidelity of a device structure created in a multi-patterning scheme. It is urgent to reduce EPE to guarantee the proper functioning of semiconductor devices. Therefore, controlling EPE is one of the most serious challenges in the field of multi-patterning and EUV lithography.EPE is a complex metric, involving error components from various process steps (e.g., lithography and etching steps). It is the combination of critical dimension (CD) errors and overlay errors (see Fig.1(a)). The ratio of each component to the EPE budget changes with the iteration of the logic node (see Fig.1(b)). Stochastic contributions to the EPE budget are increasing rapidly (>50%). Hence, the accurate estimation and measurement of each component of EPE, particularly the stochastic components, are critical to enabling a holistic approach to EPE control and thereby improving the yield. A holistic approach is adopted to optimize the EPE budget (see Fig.2).This review is organized in five parts. First, we take a broad view of EPE in EUV lithography. Second, we highlight the characteristics peculiar to EUV lithography, considering the optical proximity correction (OPC) and stochastics. Third, we discuss two EPE models, including the optimization flow and the calculation process of EPE from metrology inputs (e.g., CD and overlay). Fourth, we present the metrologies of CD and overlay errors, summarizing the advantages and disadvantages of each method. Finally, we discuss the techniques for EPE.ProgressThe typical deep ultraviolet (DUV) immersion lithography works with a transmissive mask, while EUV works with a reflective one (see Fig.4). In addition, the EUV mask is exposed under oblique incidence. Some characteristics such as flare, mask absorber behavior, and mask three-dimensional effects (M3D effects) need to be considered. For instance, M3D effects cause wafer-level deviations from the intended design of an integrated circuit. To address these issues, OPC can be used. The EUV OPC model error contribution of each physical effect is presented in Fig.5(a). Typically, rule-based and model-based approaches are used. Sub-resolution assist features (SRAF) can be used to improve the depth of focus and exposure latitude at a given pitch. In addition, exploring machine learning OPC is also an important tendency. For EUV lithography, due to off-axis illumination and the large ratio between absorber thickness (50‒100 nm) and operating wavelength (13.5 nm), the mask needs rigorous electromagnetic field (EMF) solvers to simulate the light diffraction from the mask. The finite difference time domain (FDTD) method can be used to simulate the 3D masks, but it is computationally expensive and time-consuming. An approximate M3D filter calculation method based on an M3D library and a mask defocus is applied to predict the patterns on wafers.The energy of EUV (13.5 nm, ~92 eV) is larger than the resist atomic species' electron binding energies, and ionization is the main mechanism. In resist, the electrons can travel farther than 10 nm, which has implications for the understanding of noise, critical dimension uniformity (CDU), and line edge roughness (LER) variations. The stochastic nature of EUV lithography brings many undesirable effects, and it becomes worse when trying to manufacture at high resolution and high throughput. For EUV lithography, local critical dimension uniformity (LCDU) and LER are typically large because of the increased presence of stochastic noise in low doses. Three main approaches to controlling stochastics exist (see Fig.6(a)). The stochastics can be simulated by Monte Carlo models or multivariate Poisson propagation models (MPPM).For the most advanced nodes, stochastics are generally considered to be the dominant aspect of an EPE budget. It is necessary to explore new methods to properly account for the stochastics in EPE budgets. Mulkens et al. provide a method that is widely applied. It is a computational method that links different EPE budget components. The definition of EPE is shown in Fig.7(a). The calculation process of EPE from various CD and overlay metrology inputs is illustrated in Fig.7(b). The EPE optimization flow (a five-step iterative process) is presented in Fig.7(c). Mack et al. propose a more rigorous, stochastics-aware disposition approach for complementary lithography. By using measurable quantities, this model realizes the accurate prediction of EPE-based failure rates for lot dispositioning. The above two models are reviewed.With the CD shrinkage, the complex 3D architectures also increase. Worse still, for high NA EUV lithography, due to the reduction in the depth of focus, we apply thinner resist films (<15 nm). It brings a great challenge to conventional CD metrology (e.g., optical CD and CD-SEM). The mechanism, key limits, and challenges of CD metrology are summarized. Computational imaging is a promising technique because of the merits of higher resolution and a larger optical field of view.Tight and effective overlay control is essential to securing yield. The main contributors to the overlay budget are the scanner, mask, process, and overlay metrology. The overlay optimization flow is illustrated in Fig. 10(b). Optical overlay metrology has been widely adopted for overlay control. Imaging-based overlay (IBO) and diffraction-based overlay (DBO) are the two dominant technologies. The schematic overlay metrology method of DBO is shown in Fig.11(a)‒(e). When the logic design rules are down to 3 nm, on-product overlay (OPO) is becoming the most important factor limiting yields. Overlay error metrology and systems with higher accuracy (sub-nm scale) are in demand. The accuracy limitations of optical overlay metrology promote complementary overlay metrology (e.g., electron beam-based overlay metrology).Conclusions and ProspectsReducing EPE is essential to enabling high yields in manufacturing processes. In this paper, OPC and stochastics in EUV lithography are highlighted. Two models of EPE are reviewed, and the principal components of an EPE budget are systematically discussed. A holistic approach is significant to meet the severe demands of patterning in EPE control. It combines computational mask optimization (e.g., OPC), wafer metrology overlay/CD, co-optimization with other processes (e.g., etch), the high-order actuation capability of DUV, and an EUV scanner. New characterization techniques are critical to providing more accurate descriptions of errors in EUV lithography. Computational imaging is a promising approach by which to increase the resolution of the inspection of masks/chips. Machine learning shows economic potential in EPE analysis. This topic is of significance to the roadmap toward technology nodes of 3 nm and below in the semiconductor industry.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701005 (2024)
  • Xiang Wang, Jianjun He, Jialiang Wei, and Huie Zhu

    SignificanceExtreme ultraviolet (EUV) lithography is the most advanced photolithography technology used in semiconductor device fabrication to fabricate integrated circuits (ICs), providing a guarantee for a 3 nm node that is currently in mass production. Random defect in EUV lithography is a key problem that limits yield improvement. The extremely reduced feature size places much higher requirements on the regularity of the chemical structure of the photoresist components. However, chemical stochastics, such as unavoidable chemical inhomogeneity in the photoresist components and uneven photoacid diffusion distance during the post-exposure baking process, always cause printing defects, which present severe challenges for the development of next-generation photoresist materials. Meanwhile, the EUV light source has a shorter wavelength (13.5 nm), resulting in a very low photon density, which is only 1/14 of that of the light source (193 nm) used in ArF lithography. Therefore, the photon-shot noise increases by several times, leading to the inevitable problem of photon stochastics. Owing to these problems, higher requirements have been proposed for the molecular size and system uniformity of the EUV photoresist material system compared to the previous generation of photolithography materials. This article presents a systematic review of the research development on the above-mentioned stochastic issues in EUV photoresists in recent years by focusing on the current research status in three aspects: chemical stochastics, photon stochastics, and computational simulation methods used to clarify the stochastic issues in EUV lithography.ProgressAs the minimum feature sizes continue to shrink in EUV lithography, stochastic defects have become the “black swans” of yield loss as stochastic phenomena scales as one over the feature size cubed. Ultimately, stochastic effects originate from the fact that both light (EUV photons) and matter (resist materials) are discrete particles with finite numbers that interact with each other in discrete volumes. Thus, the two main sources of EUV stochastics are chemical and photon stochastics, which can be observed throughout the lithography process (Fig.1). “Traditional” types of defects often come from resist residue or in-film particles and will cause repeating printing failures in a large area. By contrast, stochastic defects nearly always affect only a single feature (Fig.2).Photon stochastics-induced shot noise has been observed for several decades. However, it is much more severe in EUV lithography than in previous lithography methods because the energy of the EUV photons is significantly higher, changing the exposure mechanism from photochemistry to radiation chemistry (Fig.3). Despite increasing the light source efficiency, the main method for reducing photon stochastics is to enhance the EUV absorption of the resist. In chemically amplified resists, this is achieved by introducing elements with high EUV absorption cross-sections in the polymer backbones or side chains in PAGs or as sensitizers (Fig.4 and Table 1). Owing to the high EUV absorption of metal atoms and higher densities compared to polymer-based resists, metal oxide resists display intense EUV absorption and have received significant attention in recent years.A large portion of chemical stochastics originates from the random behavior of resist materials. Chemically amplified resists, the mainstream EUV resists used today, are based on a multicomponent system that includes a polymer, a photoacid generator, and a quencher. Ober et al. and Park et al. pointed out that polymers with small weight-average molecular weight and low polydispersity can effectively reduce chemical stochastics (Fig.5). The control of the concentration of PAG (Fig.6) and quencher (Fig.8) and their uniformity in the resist film (Fig.7), as well as the development method (Figs.9?10) and post-exposure baking temperature (Fig.11), are also critical for reducing the LER and defectivity caused by chemical stochastics. Although the composition of the metal oxide resist is simpler than that of the chemically amplified resist, special attention should be paid to its size and uniformity (Fig.12).Simulations are important in research on stochastic effects because of their advantages in studying mechanisms at the nanometer scale. Physical model-based simulations of stochastic effects are intuitive in nature and include dissolution, analytic, and critical ionization models. These models are the most widely used in both academia and industry. Another emerging method is the Monte Carlo simulation of stochastic effects. The random numbers generated by Monte Carlo sampling demonstrate the ability to simulate reactions with a certain probability. Figures 13 and 14 illustrate the procedure for using this simulation method. Finally, a framework combined density functional theory, molecular dynamics, and finite difference method simulation lays the foundation for a first-principles study of stochastic effects in photoresists. Figure 15 shows how this workflow can simulate and calculate the morphology of the exposed photoresist, which is accurate because it is not limited by empirical parameters.Conclusions and ProspectsThis article presents the recent research on stochastic issues in EUV lithography, focusing on chemical stochastics, photon stochastics, and stochastic simulations/models. Photon stochastics is a well-known issue, and it scales with the square root of dose. This can be reduced by incorporating elements with high EUV absorbance into photoresist materials. However, chemical stochastics has been overlooked for a long time and has only been under intense investigation in recent years. Owing to the complex exposure and development mechanisms in EUV lithography and the limitations of the detection methods, such stochastic problems are difficult to identify, classify, and clarify. Fortunately, researchers can rely on various simulation and modeling methods to advance the fundamental understanding of stochastic phenomena in EUV lithography. Stochastic issues have been and will continue to be a key problem limiting the capability of EUV lithography, and hopefully, with a deeper understanding, we can find better ways to reduce them.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701006 (2024)
  • Kui Zhou, Peng Li, Dai Wu, and Ming Li

    SignificanceExtreme ultraviolet (EUV) lithography technology is critical for realizing high-end chip manufacturing at the 7 nm node and below. Currently, EUV lithography machines mainly use laser plasma (LPP) light sources. The maximum EUV power achieved by an LPP light source is approximately 500 W. For nodes smaller than 3 nm, satisfying the power requirements of LPP light sources is difficult. The development of EUV lithography in the future will require more powerful light sources.A free-electron laser based on energy recovery linacs (ERL-FEL) can achieve a laser output with high repetition frequency, high average power, and high energy efficiency. With the development of FEL and ERL technologies, an ERL-FEL light source can achieve an output power of more than 10 kilowatts at a wavelength of 13.5 nm and is thus a promising high-power EUV lithography light source.ProgressA free-electron laser is a type of radiation laser based on free electrons in vacuum. Compared with those of traditional lasers, the radiation wavelength does not depend on the excited medium but is related only to the electron beam energy and undulator magnetic field. An energy recovery linac accelerates the electron beams in the acceleration phase. After application, the accelerated electron beams return to the main accelerator during the deceleration phase and the power of the high-energy electron beam is converted into the microwave acceleration field power to accelerate the subsequent injected electron beams, which can achieve high-efficiency energy recovery and utilization. The FEL light source based on ERL technology provides a new technical route for the development of high-power EUV lithography.Since Madey first proposed the concept of free-electron lasers in 1971, at least 50 FEL facilities have been built worldwide, and at least 20 FEL facilities are currently under construction or planned. In 1965, Tigner first proposed the concept of energy-recovery linacs. In recent decades, ERL technology has been regularly applied in different fields, and countries worldwide have conducted research and construction work on ERL facilities. One of the most important applications of ERL is in the generation of high-power FELs.Global ERL-FEL light sources that have been constructed mainly include the JLAb FEL in the United States, Novosibirsk FEL in Russia, ALICE in the United Kingdom, and JAEA FEL and cERL in Japan. In addition, Peking University, the Institute of High Energy Physics of the Chinese Academy of Sciences, the Shanghai Institute of Applied Physics of the Chinese Academy of Sciences, and China Academy of Engineering Physics have conducted physical design and theoretical research studies on ERL-FELs. However, no ERL-FEL facilities have been fully constructed in China.In 2015, KEK proposed an ERL-FEL plan for EUV lithography light sources based on a cERL, which can generate an EUV laser power greater than 10 kW. Russia, Germany, and Israel have proposed a compact EUV-FEL light source with an output power of approximately 5 kW. The Shanghai Advanced Research Institute of the Chinese Academy of Sciences has also proposed a fully coherent EUV light source plan based on the ERL.Although ERL-FEL light sources for EUV lithography have significant development potential, many key technical problems must still be solved. To obtain a kilowatt-level EUV-FEL output, the facility must operate in a state of high average current and high beam power for a long period, which places higher requirements on photocathode injectors, superconducting accelerators, and energy recovery technology.Conclusions and ProspectsTraditional LPP technology encounters bottlenecks below the 3 nm node. In the future, the development of EUV lithography will require kilowatt-level high-power light sources. An ERL-FEL light source can achieve an output power above the kilowatt level and is considered to be a highly promising next-generation lithography light source. This study introduces the working principles, development status, and key technical challenges of high-power ERL-FEL light sources.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701007 (2024)
  • Wei Zheng, Naiji Zhang, Siqi Zhu, Lixin Zhang, and Wei Cai

    SignificanceExtreme ultraviolet (EUV) detectors play an irreplaceable role in the fields of electronics manufacturing, space exploration, and basic science research. In electronics manufacturing, EUV lithography offers new possibilities for realizing circuit patterns in smaller sizes. The application of EUV detectors in EUV lithography is crucial because reliable detectors in EUV lithography systems can realize accurate monitoring of light source power and exposure dose to ensure the accuracy and consistency of chip production. In space exploration, the EUV radiation released by solar activity changes the density and ionization of Earth’s thermosphere and ionosphere, which will accordingly affect the performance of ground-based communication systems and spacecraft in low Earth orbit. EUV detectors can be effectively used to study solar activity, which can facilitate investigations on how solar changes affect Earth and technological systems in space missions, thereby improving the prediction capabilities. In basic science, as EUV light has a short wavelength and high energy, relevant studies of its characteristics and interaction mechanisms can deepen the understanding of the photon behavior and electronic structure of atoms and molecules. In this field, EUV detectors are a key component to calibrate the wavelength and intensity of light sources, providing a means to deeply explore the microstructure and basic laws of the material world (Fig.1). Starting with the important application scenarios of EUV detectors in various fields, this review aims to provide a systematic introduction to the advantages and research progress of EUV detectors.ProgressAs science and technology progress, various application scenarios have put forward different performance requirements for EUV detectors. This paper reviews the research progress of EUV detectors based on different detection media and working mechanisms, including the gas monitor detector (GMD), scintillator, micro-channel plate, and semiconductor-based photodetector.GMDs can obtain information by detecting the ionization process after the absorption of EUV radiation. The stable real-time monitoring of the photon fluxes of high-power EUV light sources, such as synchrotron radiation and free-electron lasers (FEL), will affect subsequent tests, making the calibration of these light sources essential. Semiconductor diodes are typically used to measure the absolute photon fluxes; however, they may get damaged under high-power EUV radiation, increasing the uncertainty in EUV detection. In contrast, as GMDs can overcome the performance degradation induced by radiation and realize real-time monitoring of photon fluxes, it has been employed in several free electron laser devices (such as FLASH 2, SwissFEL, European XFEL, and LCLS II). Compared with the calibration of semiconductor diodes, GMDs have the advantages of low deviation, high stability, and long service life. Further, it is more effective in detecting high-power EUV radiation (Fig.2).Scintillators have been developed based on the down-conversion effect, which converts invisible EUV light into visible light to be collected by a back-end photodiode or photomultiplier tube. The scintillator is generally a fast and efficient photoluminescent material with sufficient size and is an ideal element for high-speed EUV detection and imaging. Scintillators exhibit high-yield luminescence, a fast response to EUV light, and a sufficiently high absorption coefficient. Ce∶YAG, ZnO, and sodium salicylate are scintillators that have attracted significant attention in the field of EUV detection, among which the sodium salicylate scintillators have been commercialized (Fig.3).The micro-channel plate is a type of large-area electron multiplier detector that converts EUV photons into electrons through the external photoelectric effect that has the advantages of high spatial resolution and low noise. Micro-channel plate EUV detection technology has made great progress in the past few decades, including improvements in detection efficiency, response speed, and image reading technology. It has been widely used in the detection of the EUV band in aerospace missions, thus providing strong support for space science research. Micro-channel plates have been commercialized, mainly by the Hamamatsu Company (Fig.4).The semiconductor-based photodetector is a type of low-power miniaturized detector that utilizes the internal photoelectric effect. Its types include those based on silicon and wide-bandgap semiconductors, with the advantages of small size, light weight, and easy integration. Silicon-based photodetectors have been applied in a wide spectrum range, from X-rays to visible light, and the test data are considered the absolute calibration standard for EUV detection technology (Fig.5). However, they are prone to face the problems of accelerated aging or even getting damaged under harsh conditions such as high temperatures and radiation. Considering the reliability and operating conditions of EUV detection, wide-bandgap semiconductor materials are preferred in such situations. Owing to its material characteristics, the EUV photodetector based on wide-bandgap semiconductors typically has a higher radiation damage threshold, stronger chemical and physical stability, and a lower intrinsic carrier concentration, which can ensure stable performance under high irradiation intensity. At present, some wide-bandgap semiconductor materials, such as SiC (Fig.6), AlGaN (Fig.7), and diamond (Fig.8), have been used to manufacture EUV photodetectors. Detectors based on those materials have exhibited a longer service life under the same irradiation conditions and greater advantages in relation to EUV lithography light source power and dose monitoring when compared with silicon-based photodetectors. Therefore, wide-bandgap semiconductors have important research significance with the ability to provide new avenues for the development of EUV detection technology.Conclusions and ProspectsThis paper introduces the development and research status of EUV detection of the GMD, scintillator, micro-channel plate, and semiconductor-based photodetector (with the advantages and disadvantages listed in Fig.9), particularly focusing on the EUV photodetector based on wide-bandgap semiconductors. All these types of detectors have been constantly optimized to meet the needs of different application scenarios. A deeper understanding is expected to be achieved in the future by dealing with the unsolved scientific problems in current EUV detection technology, such as irradiation-resistant EUV power monitoring, high-resolution EUV imaging, and high-rejection-ratio detection for weak EUV light. This in-depth research will provide more advanced technical means or methods for electronics manufacturing, space exploration, and basic science to promote the development of related fields.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701008 (2024)
  • Huaiyu Cui, Yujie Shen, Dongdi Zhao, Bo An, and Yongpeng Zhao

    SignificanceLaser development represents a significant leap forward in the history of human science. The aggregation of billions (or potentially more) of photons in the same mode makes the laser the “brightest light”, “fastest knife” and “most accurate ruler”. Rapid laser source development and related technologies have promoted numerous breakthrough advances in the military, civilian and fundamental scientific fields. The lasers application areas are related to the laser source output parameters. When the laser output wavelength is shortened to extreme ultraviolet (EUV) and X-ray bands, the high photon energy produced by the light source and the extremely small diffraction limit make these short wavelength radiation sources favorable tools for exploring the microscopic world through cutting-edge scientific research. This includes micro-nano scale imaging and measurement, high-temperature, high-density plasma diagnostics, and high-resolution nanostructure generation.Wavelength shortening has introduced many laser generation difficulties. To achieve short-wavelength laser output, scientists worldwide have invested significant effort into constructing large-scale short-wavelength laser sources, such as LCLS, LCLS-II in the USA and SXFEL, DCLS in China. Current research on such laser sources represents humanity’s exploration of the material essence forefront and the deepest understanding of nature. Large-scale short-wavelength laser sources generate high-quality laser outputs, leading to significant scientific research achievements. However, some drawbacks exist including high operating costs and complex operation processes, thus, making it difficult to address the high demand for laser utilization in fundamental scientific research. In this situation, how to miniaturize short-wavelength laser has gained attention. Capillary discharge pumping is a proven mechanism for achieving miniaturized EUV laser output. International research groups have been studying this field since Rocca demonstrated this type of laser output in 1994. In 2004, the Zhao group from the Harbin Institute of Technology self-developed this laser, which remains the only EUV laser source in operation that uses capillary discharge pumping in China. Subsequently, capillary discharge 46.9 nm wavelength EUV lasers have made significant improvements in output energy, coherence and multi-wavelength output, which have already become an ideal light source for EUV laser application research. Meanwhile, capillary discharge EUV lasers have been applied in micro/nano-structure processing, material composition detection and high-resolution imaging fields.ProgressIn the micro/nano-processing field, the 46.9 nm laser is capable of creating ablation patterns of PMMA photoresist of 82 nm diameter by the third order diffraction focusing of a freestanding Fresnel zone plate (Fig.4). The ablation pattern walls are extremely clean. The results demonstrate the feasibility of utilizing focused EUV lasers for nanoscale direct writing processes. The EUV laser interference effect is another approach for creating micro/nano-structures. With a tubular optical element, the 46.9 nm laser is focused and split to trigger light interference simultaneously, and focused interference fringes are formed and recorded on the PMMA with the period of ~150 nm (Fig.8). Nano-structures self-formation is also particular surface behavior which is triggered by 46.9 nm laser irradiation. With given material, the ablation process could be modulated and create novel phenomena. With single-layer graphene assistance, self-formed nanoparticles could be created all over the ablation area using single laser pulse exposure (Fig.14). The advantage of these self-formed nanostructures is that the scale of the structures is not dependent on the radiation source diffraction limitation, which increases the flexibility of nano-processing technology. This suggests significant potential for the 46.9 nm laser in this particular field. Recently, the 46.9 nm laser has been predominantly utilized in spectrochemistry. Because of high photon energy, this laser is capable of ionizing atoms or molecules using single photon-ionization. By coupling the time-of-flight mass spectrometer, the 46.9 nm laser analyzes the target surface composition. Furthermore, high-resolution imaging with the composition contrast can be achieved by scanning the surface (Fig.21). Therefore, this research connects EUV lasers with biology, chemistry and physics applications at the atomic and molecular scale.Conclusions and ProspectsThe capillary discharge EUV laser is a miniaturized laser source. Compared with short-wavelength light sources, such as free-electron lasers and synchrotron radiation sources, capillary discharge lasers have the advantages of low operating cost, high single-pulse energy and sufficient user time. Superior laser characteristics and the flexibility of miniaturization make it a suitable radiation source for EUV laser applications. This study presents cutting-edge applications for this laser in the micro/nano-structure processing, material composition detection, biological disciplines and high-resolution imaging fields, to date. Hence, it can be confirmed that the capillary discharge EUV laser is a powerful tool for probing and processing micro/nano-structures. Currently, the demand for short-wavelength light sources is increasing, thus indicating, that in accordance with its potential application value, multiple future advantageous development opportunities are expected to emerge.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701009 (2024)
  • Xiaoran Li, Hetao Tang, Jiaoling Zhao, and Fenghua Li

    SignificanceThe production of integrated circuits relies primarily on lithography. Extreme Ultraviolet (EUV) lithography employing a light source at 13.5 nm is currently the most advanced lithography technology for high-volume mass production, which has led to unprecedented progress in the development of integrated circuits (IC). The constant demand for IC chips with higher computing power has increased with the technological development of artificial intelligence in recent years. This requires further improvement in the lithographic resolution for the manufacture of smaller transistors on chips. Beyond extreme ultraviolet lithography (BEUV) at a wavelength of 6.X nm has become a research hotspot according to the Rayleigh criterion.Light-source technology is indispensable to EUV lithography. To meet the requirements for mass production, an EUV light source must possess key performance characteristics, such as a stable and high-level output power, high energy conversion efficiency, minimum contamination level, and low maintenance cost. Currently, the predominant methods for the emission of BEUV light at a wavelength of 6.X nm include synchrotron radiation/free electron lasers (FEL), laser-produced plasma (LPP), and laser-induced discharge plasma (LDP). The mainstream approach is LPP technology, which utilizes Tb or Gb targets. Meanwhile, FEL exhibit potential as feasible BEUV light sources owing to their high power and efficiency, especially since the recent development of miniaturized X-ray free electron lasers (XFEL).In particular, the reflective multilayer mirror is a crucial component of the optical system of EUV/BEUV lithography, which determines the exposure efficiency and imaging quality during lithography. To achieve a high reflectivity at the designated wavelength, the multilayer structure generally comprises alternating nanolayers of two materials with high optical contrast and low extinction coefficient. Notably, for a wavelength of 6.X nm, the period thickness of the multilayers is only approximately 3 nm, and the required number of layers exceeds 500. Precise control of the layer thickness and density is essential for ensuring a continuous and stable high reflectivity of the multilayers at the central wavelength. In addition, surface/interfacial roughness and/or intermixing between layers can lead to a decrease in the reflectivity and a shift in the central wavelength of these multilayers. Therefore, many studies have focused on the interfacial barrier layer for suppressing the intermixing or diffusion and mitigating its negative impact on the reflectivity.Aging and the performance degradation of multilayers have brought considerable engineering challenges to EUV/BEUV lithography. Even a slight decrease in the reflectivity can result in a significant reduction in the power of the entire optical system. Specifically, the thermal stability of the BEUV multilayer is essential for maintaining a longer lifetime, because BEUV mirrors are typically exposed to higher thermal loads (higher power densities) than those used in EUV optics. Such high exposure loads lead to a severe interface diffusion and a reflectivity reduction. In addition, contamination caused by the BEUV light source, including carbon and/or oxidation contamination and plasma-induced damage, significantly shortens the lifetime of BEUV optics.ProgressFirst, the methods for generating BEUV light (FEL, LPP, and LDP) are introduced in this review. The characteristics of the different BEUV light sources are summarized in Table 1. The advantages and disadvantages of using magnetron sputtering for the deposition of BEUV multilayers are discussed along with typical characterization methods such as X-ray reflectivity (XRR) and transmission electron microscopy (TEM) and their working principles. This review describes suitable spacer (B and B4C) and absorber materials (La and Mo) by examining the refractive index of each material at a wavelength of 6.X nm (Fig.4). From among them, the theoretical reflectivity of the La/B multilayer is the highest (above 80%); however, its measured reflectivity is only approximately 10%, owing to the low sputtering rate of B and its high interface diffusion. Various methods have been proposed to address these issues. For instance, Chkhalo et al. at the Physics of Microstructures of RAS inserted a carbon layer of 0.25?0.3 nm into the interface of La/B4C multilayer to prevent interfacial diffusion and increase the reflectivity to approximately 58.6%. Another typical method involves the passivation of the lanthanum interface with nitrogen, which improves the interfacial optical contrast and inhibits interface diffusion. For example, Kuznets et al. from the University of Twente fabricated a La/LaN/B multilayer with a reflectivity of up to 64%. The structural parameters and properties of other BEUV multilayers developed over the last decade are summarized in Table 2. Regarding the aging and performance degradation of multilayers, we studied the relevant literature on the thermal stability of BEUV multilayer mirrors in recent years, revealing that nitridation treatment of multilayers results in a better thermal stability. The influence of oxidation and contamination on the lifetime of the multilayers is also briefly introduced.Conclusions and ProspectsToday, 13.5 nm EUV lithography technology has matured to the stage of widespread use in mass production. However, next-generation BEUV lithography at 6.X nm for commercial applications requires extensive research and further engineering. Notably, there is a lack of comprehensive studies on the development of BEUV light sources and multilayer mirror technologies, both at home and abroad, particularly on the surface contamination and damage mechanism of multilayer mirrors caused by BEUV light. Therefore, all these critical research topics urgently require a joint effort of industry, academia, and research communities with the common goal of developing a BEUV multilayer mirror with a high reflectivity (at 6.X nm) and an industry-relevant level of stability and lifetime, enabling practical applications in commercial use. Finally, we believe that this review will provide an insightful reference for scholars and engineers engaged in domestic research activities related to advanced lithography, while hopefully promoting more in-depth studies on BEUV multilayers.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701010 (2024)
  • Kui Li, Runyu Meng, Ruixuan Li, Guangyin Zhang, Mingjie Yao, Hao Xu, Yutong Wang, Jie Li, Xiaoshi Zhang, and Zhongwei Fan

    ObjectiveShort wavelength, short-pulse, and high-coherence laser sources are urgently needed for research on ultra-wide dynamics at the microscopic scale. Additionally, with the demand for an ever- increasing chip computing speed, the semiconductor field urgently requires small and low-cost extreme ultraviolet light sources for material development and wafer defect detection. High-order harmonic (HHG) technology is used to realize laboratory desktop applications and produce high-coherence and high-resolution extreme ultraviolet (EUV) light sources, which is one of the most reliable technologies and is gradually becoming one of the most important tools for atomic, molecular, advanced quantum, and other materials research as well as nano-imaging. Based on the semiconductor field and experimental requirements, we build a set of HHG-EUV sources using a commercial Ti∶sapphire laser, which is a highly stable, high-power 13.5-nm source. This will advance research in material development, semiconductor performance characterization, biomedical imaging, wafer defect detection, and other fields of research.MethodsHigh-order harmonic processes can be explained by the semiclassical three-step model, and several experiments have demonstrated that Ti∶sapphire lasers are among the best driving sources for generating an EUV source. Focused high-average-power laser pulses interacting with rare gases produce harmonics that cover the extreme ultraviolet(UV)and soft X-ray regions, thereby making them the most efficient source for electrodynamics studies. The reaction region is commonly characterized using nozzles, gas cells, and hollow waveguides. The latter has the highest harmonic conversion efficiency owing to the phase modulation process; however, it is difficult to calibrate and achieve a stable output over long periods. To achieve a high flux and stable output from a 13.5-nm harmonic source, we design and build a beam stabilization control system to assist the alignment process and maintain beam stability. In addition, we build a dual-optical and flat-field spectrometer that can effectively optimize the harmonic aberration in the harmonics generated by the device.Results and DiscussionsWhen helium is used as the generation gas, the spectral distribution around 13.46 nm is filtered with Zr films. A calibrated photodiode is used to measure the optical power signal, and the total power generated by the light source is calculated as approximately 1.9 μW. The spot shape is captured using complementary metal-oxide semiconductor (CMOS) at a distance of 1.9 m from the light source [Fig.2(b)], and the 1/e2 spot size is calculated to be 1.92 mm×1.67 mm with the x-divergence full-angle of the spot calculated to be 1.01 mrad, and the y-divergence full-angle of the spot calculated to be 0.88 mrad. When argon is used as the generation gas, two 250-nm thick Al films are used for spectral filtering, and the spectral distribution is around 30 nm. The calculated average power is 120 μW at the light source. Furthermore, in order to measure the pointing and power stability of the EUV beams, a CMOS is placed at a distance of 1.9 m from the light source. The root-mean-square (RMS) of the pointing stability is then calculated using the offset of the spot center from the initial position over a 12-h period, with the pointing offset of the beam in the x-direction controlled to within 6.3 μrad and that in the y-direction controlled to within 7.2 μrad. The average RMS power stability over a 12-h period is 4.37%.Wavelength calibration is performed using an in-house-designed dual-optics flat-field spectrometer with Al and Si films, as shown in Fig.4(b), which demonstrates the filtered HHG spectral signals of the different films collected using a grating with groove density of 500 line/mm. With the help of the steep absorption edge of the Si film at approximately 12.5 nm (the absorption peak of the Ai film is at approximately 17.05 nm), the position of the 59th harmonic peak at 13.46 nm is obtained. Combined with the slit, our flat-field spectrometer can output any chosen harmonic that minimizes the aberration. As shown in Fig.6, we analyze the first-, second-, and third-order diffraction harmonic intensities of the grating acquired by CMOS, which are consistent with the results of the theoretical coupling calculations. We significantly improve the harmonic spectral resolution using a gold-plated planar grating with groove density of 1200 line/mm. We determine that the 13.46-nm harmonic contains an average power of about 0.32 μW, and that its true bandwidth is less than 0.124 nm.ConclusionsA high-power, high-stability HHG source with a spectrum ranging from 10 nm to 40 nm is developed based on an inflatable hollow optical waveguide using a titanium gemstone laser as the driving light source. Using helium or argon as the reaction gas, multiple harmonics covering 10 nm to 40 nm are obtained, and the total power can be as high as 120 μW. Combined with an in-house designed dual-optics flat-field spectrometer and a monochromator, a single harmonic with an average power of about 0.32 μW, a bandwidth of about 0.124 nm and a center wavelength of 13.46 nm is obtained. The engineering design is adopted for the system and a technical breakthrough for this type of light source in terms of the pointing stability and power stability index is therefore successfully realized, which are world-leading results. Our study lays a solid foundation for the application and promotion of this light source in the industry. This light source will be popularized and validated in the fields of EUV interference lithography experiments, photoresist research and development, material parameter measurements in EUV lithography technology, nanometer or quantum material research and development, and semiconductor wafer defect detection.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701011 (2024)
  • Junwu Wang, Hongwen Xuan, Xinbing Wang, and Vassily S. Zakharov

    ObjectiveWith the continuous decrease in feature size in the semiconductor industry, extreme ultraviolet lithography (EUVL) is becoming increasingly crucial in ultrahigh integrated circuit manufacturing. The emission characteristics of tin (Sn) in terms of its high conversion efficiency (CE) and spectral purity (SP) make it the best choice for current EUVL systems. Laser-produced plasma (LPP) and laser-induced discharge plasma (LDP) are the most important technical methods for generating extreme ultraviolet rays. LDP has the low cost, simple structure, high operation rate, and high energy injection, and it is promising for mask inspection, microscopic imaging, and spectral metrology. Unlike the LPP source, many parameters, such as the electrode structure, discharge gap, laser wavelength, and current rise rate, make it difficult to design an LDP source; therefore, it is necessary to investigate the influence of these parameters on the performance of the LDP EUV source.MethodsAn experimental setup is designed to investigate the laser-induced discharge of tin plasma. A pulsed carbon dioxide laser is used to ablate a tin plate cathode and produce an expanding pre-ionized plasma as the discharge medium, decreasing the threshold for the breakdown voltage. A vacuum arc gradually formes between the cathode and the stainless-steel hemisphere anode, and the current bombards the electrodes and generates more plasma. The time-domain waveform of the current is recorded, and the extreme ultraviolet spectra of the LPP and LDP are analyzed. A radiative magneto-hydrodynamic program Z* is used to simulate the laser plasma and discharge plasma.Results and DiscussionsThe experimental LPP-EUV spectrum shows a peak at 13.7 nm when the laser energy is 145 mJ, whereas the peaks of the LDP spectra show a significant red shift compared to those of the LPP spectrum (Fig.3). When the voltage is 7 kV, the in-band (bandwidth of 2% at 13.5 nm wavelength) spectral intensity of the LDP does not significantly increase compared with that under the LPP condition. At a voltage of 15 kV, the in-band spectral intensity increases significantly. The simulation results show that the time-domain signals of the LDP-EUV have multiple peaks, and when the voltage reaches 15 kV, the second peak of the EUV radiation is higher than the first peak (Fig.6). When the current intensity is sufficiently high, the Joule heat generated by the current is sufficient to compensate for the energy transmitted via plasma diffusion and thermal radiation, causing the plasma temperature to increase and the EUV radiation area to extend. Subsequently, the plasma between the electrodes rapidly collapses as the current intensity oscillates and decays. The total and EUV radiation powers reach their maximum values near the current peak. When the discharge voltage increases from 7 kV to 15 kV, the total and EUV radiation powers both increase, and the highest EUV radiation power reaches 0.025 MW. The total radiation energy increases from 842.00 mJ to 3.85 J, and the total EUV radiation energy increases from 3.5 mJ to 65.0 mJ. The CE increases from 0.054% to 0.23%, and the SP increases from 0.42% to 1.69%. At a voltage of 7 kV, the maximum EUV radiation power density is 0.09 MW/cm3, and the EUV radiation is mainly concentrated near the anode (Fig.7). When the voltage is 15 kV, the maximum EUV radiation power density can reach 0.3 MW/cm3, and the EUV radiation is mainly concentrated on both sides of the electrodes (Fig.8). The average ionization degree of the plasma in the main area of EUV radiation is 10‒12.ConclusionsIn this study, the EUV radiation emitted by the LDP and LPP is conducted experimentally and theoretically. Compared to that of the LPP source, the temperature of the LDP source is significantly higher, and more Sn10+, Sn11+, and Sn12+ ions are present. Transitions between multiple excited states gradually replace those between single excited and ground states. However, the plasma size of the LDP source is very large, resulting in a low radiation power density. The light source for mask inspection requires strong brightness; therefore, further research on the Z-pinch mechanism is required to reduce the plasma size and improve brightness. The discharge voltage significantly influences the in-band EUV radiation of the LDP source. This phenomenon demonstrates the major advantage of the LDP light source: the extreme ultraviolet output power can be increased by increasing the injection of electrical energy. However, CE and SP still need to be improved by increasing the current rise rate. Shortening the current rise time and reducing the inductance of the discharge circuit can be good approaches.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701012 (2024)
  • Huagang Liu, Kaiming Ruan, Jinhui Li, Fei Shi, Jianhong Huang, and Wenxiong Lin

    ObjectiveUltraviolet and deep ultraviolet ultrafast lasers have important applications in the development of ultraviolet semiconductor devices, ultrafast spectroscopy, and extreme ultraviolet laser generation owing to their advantages, such as ultrahigh temporal and spatial resolution. Continuous wavelength tuning in the ultraviolet and deep-ultraviolet ranges can expand the applicability of such lasers to satisfy the application requirements of different materials and research fields. However, many technical challenges remain for continuously tunable ultrashort pulse lasers that cover ultraviolet and deep-ultraviolet wavelengths below 200 nm to 300 nm. This study reports the development of a high-repetition-rate continuously tunable ultraviolet/deep ultraviolet ultrashort pulse laser with a tuning range covering the 192?300 nm wavelength band.MethodsA commercial tunable Ti∶sapphire mode-locked laser with a continuously tunable output wavelength in the range of 690?1040 nm, a pulse width of 120 fs, and a repetition rate of 80 MHz is used as the fundamental laser. The BBSAG crystal, an improved BBO crystal, is used as the frequency conversion medium. In theory, a fundamental laser wavelength can be converted into a wide range of ultraviolet/deep-ultraviolet wavelengths of 190?300 nm through cascaded frequency doubling and sum-frequency mixing. However, tuning the crystal angle over a wide range can significantly decrease the frequency-doubling efficiency. In addition, owing to the limitations of the phase-matching conditions of BBSAG crystals, laser wavelengths below 205 nm can be generated only through multistage sum-frequency mixing. Based on these factors, the laser is divided into three wavelength bands to generate ultrashort pulse lasers covering a wide range of 192?300 nm by optimizing the design of the multilevel frequency-doubling/sum-frequency mixing and nonlinear crystal angle.Results and DiscussionsThe laser system consists of three laser modules. In Module 1, a laser in the range of 210?250 nm is generated by direct frequency doubling (ω0+ω0→2ω0) and frequency quadrupling (2ω0+2ω0→4ω0) . The 250?300 nm wavelength band is generated using the second frequency-conversion module, which contains a frequency doubling unit (ω0+ω0→2ω0) and a sum-frequency mixing unit (2ω0+ω0→3ω0) to obtain the third harmonic of the fundamental laser. A delay line is introduced before the sum frequency of the fundamental laser and second harmonic to compensate for the group-velocity delay between the fundamental and second harmonics. Similar to Module 1, the output wavelength is tuned by adjusting the fundamental wavelength and crystal angle. The third harmonic is generated by directing the beam into different optical paths using a beam switcher, where one path is sent to a beam combiner and combined with the other two to form one output, and the other path is switched to the third laser frequency-conversion module to continue sum-frequency mixing to generate a shorter wavelength. The shortest wavelength that a BBSAG crystal can support for direct frequency doubling is 205 nm, and the phase-matching angle is approximately 90° at a wavelength of approximately 210 nm. The effective nonlinear absorption (deff) is close to zero at this phase-matching angle, which results in inefficient frequency doubling. Therefore, sum-frequency mixing is adopted to generate wavelengths of approximately 210 nm and lower. The fourth harmonic generation of the wavelength range 192?210 nm (ω0+3ω0→4ω0) is achieved through the sum-frequency mixing of the remaining fundamental laser and the generated third harmonic from Module 2 after the generation of the third harmonic. Similar to Module 2, a delay line is required to compensate for the group-velocity delay between the fundamental laser and third harmonic.ConclusionsAfter the three bands are generated, they are directed to an optical path combiner controlled using an electric mobile platform. By adjusting the position of the reflector on the platform, the three bands are directed towards a single output, facilitating subsequent laser applications. After they merge into one path, the beam is introduced into a beam-pointing stabilization system (BPS) to compensate for the position deviation generated by the beam during the switching, frequency doubling, wavelength tuning, and beam combination. When the three bands are generated at 192?210 nm, 210?250 nm, and 250?300 nm, respectively, they are combined into one optical path to output. Finally, a continuous tunable ultrashort pulse laser covering the 192?300 nm wavelength range is achieved (Figs.2 and 4). Through the electronic control design of beam switching, crystal-angle adjustment, group-velocity compensation, and beam-pointing stabilization, the entire tuning process of the laser can be controlled using a program that does not require complex manual adjustment, which would provide a single laser with high controllability and practicality.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701013 (2024)
  • Xiangyue Liu, Zhe Zhang, Li Jiang, Hongxuan Song, Dianxiang Yao, Siyi Huang, Wenjie Xu, Tonglin Huo, Hongjun Zhou, Runze Qi, Qiushi Huang, Zhong Zhang, and Zhanshan Wang

    ObjectiveMo/Si multilayer films exhibit the highest measured reflectivity in the extreme ultraviolet (EUV) region, and their combination with an EUV light source enables EUV lithography. In practical applications of EUV light sources, Mo/Si multilayer mirrors are always curved and have large diameters. The angle of the incident light constantly changes along the curved surface. To match the multilayer peak reflectivity with the angle of the incident light, the period thickness of the Mo/Si multilayer films must be distributed in a transverse gradient along the surface to ensure high EUV reflectivity. Simultaneously, given that the mirror is close to the light source, the multilayer films must operate in an environment with a high thermal load. Higher temperatures can increase the formation of silicide at the multilayer interface, causing the optical performance to decrease. Therefore, Mo/Si multilayer films for EUV light sources also have high thermal stability requirements. To address these issues, we use a shadow mask to correct the periodic thicknesses of the multilayer films at different positions on a curved substrate with a diameter of 300 mm. Carbon is selected as the diffusion barrier material to investigate the influence of C-barrier layer on the thermal stability of Mo/Si multilayer.MethodsIn this study, two sets of Mo/Si multilayer films are deposited via direct current(DC) magnetron sputtering onto super-polished silicon wafers, and the thickness control and thermal stability of the Mo/Si multilayer films are investigated separately. For the study of thickness control, the target period thickness is from 6.96 nm to 7.31 nm, and the ratio of Mo layer thickness to period thickness is approximately 0.40. As the multilayer thickness on a large curved substrate cannot be measured directly, we prepare a substituted substrate to estimate the multilayer thickness at selected points on the surface. The shadow mask technique is used to adjust the periodic thickness of the multilayer films at different positions on the entire mirror. C is selected as the barrier material for the thermal stability study. Mo/Si, Mo/Si/C, and Mo/C/Si/C multilayer films are annealed at 300 ℃ for 2 h. By observing the X-ray reflectivity (XRR) and EUV reflectivity before and after annealing, the effect of the C barrier layer on the thermal stability of Mo/Si multilayer films is investigated.Results and DiscussionsIn the study involving film thickness control, the XRR measurement results show that the samples at different positions exhibit similar layer structures (Fig.4). Atom force microscope (AFM) tests are performed on Mo/Si multilayer films deposited at four different positions on the entire mirror. The surface roughness values of the four samples are 0.128, 0.123, 0.124, and 0.118 nm. The morphologies of the four samples are similar (Fig.5). Using the shadow mask, the deviation of the period thickness on the 300-mm diameter curved substrate is controlled within ±0.45% of the expected period thickness (Fig.6).In the study involving thermal stability, after annealing at 300 ℃ for 2h, the period thickness of Mo/Si multilayer films changes from 6.99 nm to 6.69 nm, the period thickness of Mo/Si/C multilayer films changes from 6.96 nm to 6.91 nm, and the period thickness of Mo/C/Si/C multilayer films is almost same before and after the annealing, which changes from 6.97 nm to 7.00 nm. The C barrier layer can effectively mitigate the interdiffusion at the interface of the Mo and Si layers, which improves the thermal stability of the multilayer films (Fig.7). The EUV reflectivity of Mo/Si multilayer films decreases from 64.4% to 55.4% after annealing at 300 ℃ for 2 h, and the central wavelength has a shift of 0.51 nm. The EUV reflectivity of the Mo/Si/C multilayer films decreases from 66.4% to 59.6% after annealing, and the center wavelength shifts by 0.11 nm. The reflectivity of Mo/C/Si/C multilayer films decreases by 1.8% after annealing, and central wavelength shifts by 0.02 nm (Fig.8 and Table 2). Furthermore, the EUV reflectivity results show that the C barrier inserted at both interfaces of the Mo/Si multilayer films can significantly improve thermal stability.ConclusionsInitially, graded Mo/Si multilayer films are deposited on a large-diameter curved substrate using a shadow mask. Compared with the designed period thickness, the deviation in the period thickness at different positions on the entire substrate is controlled within ±0.45%. The layer structure and surface roughness of the Mo/Si multilayer films are almost identical at different positions. This study provides useful guidance for the fabrication of large curved multilayer mirrors for EUV light sources. Next, the thermal stability of the Mo/Si multilayer films is investigated after inserting a C-barrier layer. The results show that the thermal stability of the Mo/C/Si/C multilayer films is optimal, and that of the Mo/Si multilayer films is the worst. The Mo/C/Si/C multilayer films exhibit only 1.8% reflectivity loss after annealing at 300 ℃ for 2 h, and the center wavelength and bandwidth do not change. The higher reflectivity and multistability of Mo/Si multilayer films for EUV sources are currently under investigation.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701014 (2024)
  • Liangle Zhang, Xiaoquan Han, Wanlu Xie, Xiaobin Wu, Xuchen Fang, Zixiang Gao, Pengfei Sha, and Kuibo Wang

    ObjectiveThe precision of reflectivity measurements of the extreme ultraviolet (EUV) lithography machine must be greater than 0.06%; therefore, the high-precision measurement of the reflectivity of EUV optical components is particularly important. The influence of various factors in the measurement device, such as the energy stability of the light source, performance of the energy detector, and signal-to-noise ratio (SNR) of the data acquisition module must be minimized to achieve high-precision EUV reflectance measurement. Most high-precision measurements of the reflectivity of the EUV-band optical components conducted worldwide are based on synchronous radiation light sources. However, the cost of synchronous radiation light sources is high and the quantity is small with limited machine time, which cannot meet the production measurement and laboratory application requirements for EUV optical components. Therefore, the development of a reflectometer with a compact size and convenient measurements is necessary. Compared with synchronous radiation light sources, small light sources have poor single-pulse energy stability, and significant fluctuations and attenuation of energy during long-term operation greatly affect the repeatability of reflectivity measurements. Therefore, to eliminate the impact of the energy fluctuations inherent in the light source, normalization is essential during high-precision reflectivity measurements. In this study, a reflectivity testing device based on a discharge plasma (DPP) light source is developed. We analyze the effects of the EUV light source parameters, detector types, and other factors on the reflectometer and propose an effective energy-normalization method. The testing of the reflectivity of the multi-layer mirrors indicate that the impact of light source fluctuations reduces significantly, providing a reference for other EUV-related energy tests.MethodsTo improve the repeatability of reflectivity testing, the energy of the light source must be normalized. We adopted a simple method of energy normalization, which introduced an identical aperture B beside the aperture A of the test light. A detector was installed behind it to extract the light near the test beam as a reference beam to monitor the energy of the incident beam. The set-up is shown in Fig. 5, where both the apertures possess a diameter of 2 mm and horizontal distance of 7 mm. A beam of light entered the sample through aperture A for reflectance energy testing, whereas the other beam passed through aperture B as the reference light. The reference beam used for monitoring the EUV beams and the experimental beam used for reflectivity testing passed through the same optical path and optical components before splitting, followed by the experimental and reference detectors. During the reflectivity tests, we first used the experimental and reference detectors to detect the initial signal of the incident beam behind the apertures A and B and then moved it into the sample to be tested. The reflected beam signals of the reference and experimental detectors were tested at a certain angle, and the ratio of the front and back signals of the reference detector was used as the normalization factor of the light source energy to correct the actual reflectivity signal detected by the experimental detector.Results and DiscussionsThe SNR of the incident beam energy to the background noise is approximately 43 dB (Table 1). After the normalization design, the energy fluctuations of the incident beams are tested and studied. The energy changes in the reference and experimental detector test beams is shown in Fig. 6. The energy of the incident beam measured by the reference and experimental detectors fluctuates over time. After normalizing the reference beam, the energy remains stable over time, and the ratio of the energy of the experimental beam to that of the reference beam remains at approximately 0.82. Further statistical results are presented in Table 2. The energy of the incident beam generates fluctuation errors of approximately 2%, 4%, and 6% after 5, 10, and 15 min, respectively. Using the reference detector signal to normalize the experimental detector signal, the energy fluctuation of the incident beam is approximately 0.6% after 5, 10, and 15 min, and the energy fluctuation of the incident beam reduces significantly. After normalizing the experimental detector, the relative deviations of the five measurements significantly decrease (Fig. 7). A comparison of the results of the standard deviation of the multi-layered reflector before and after normalization within the range of the incidence angle of 22° shows that the standard deviation of the peak reflectance measurement results of the normalized sample is 0.69%, and the measurement repeatability of the peak reflectance of the sample improves by 84.1% compared to that before normalization. The accuracy of the experimental device is equivalent to that of foreign counterparts (Table 3).ConclusionsThe influences of the DPP source parameters and different types of detectors are analyzed based on a self-developed compact extreme ultraviolet reflectometer established with a gas discharge plasma source. An energy-normalization method is proposed and applied to the reflectivity measurements of a Mo/Si multilayer mirror at a wavelength of 13.5 nm. The results show that the energy normalization design significantly improves the repeatability of reflectance measurements. The peak reflectance measurement repeatability of multi-layer mirrors exceeds 0.69%, reducing the impact of light source energy fluctuations on the sample reflectance measurement. This result is comparable to those of compact EUV reflectometers reported abroad. Owing to the convenient and ultrahigh-precision characteristics of the EUV reflectometer, it can serve as an important measurement tool for the design optimization of EUV multilayer films and the development of EUV optical components.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701015 (2024)
  • Cong You, Wei Huang, Gaojie Lin, Bo Li, Jiang Zhao, and Youyou Hu

    ObjectiveThe mainstream approach for obtaining a 13.5 nm extreme ultraviolet (EUV) lithography light source involves laser-excited plasma. This requires the use of a high-power, high-frequency, and high-beam-quality short-pulse CO2 laser, as well as a droplet Sn target, to generate extreme ultraviolet light. To satisfy the power requirements of EUV lithography, a high-frequency CO2 oscillator must be used to generate high-frequency CO2 seeds. These seeds undergo multi-stage amplification to produce a high-power CO2 laser that serves as the driving laser. Consequently, a power amplifier is the core device for driving a light source system. Therefore, this study aims to optimize the operating parameters of a radio frequency (RF)-excited fast axial-flow CO2 laser power amplifier to achieve better gain performance and higher amplified output power. This optimization has significant practical significance for efficiently obtaining EUV light sources.MethodsGenerally, the output power of an RF-excited fast axial laser amplifier is intricately linked to several factors such as the seed optical power, gas composition ratio, RF injection power, discharge tube diameter, gas pressure, and flow rate. In this paper, we establish a six-temperature model for an RF-excited fast axial CO2 laser amplifier. This model encompasses the most abundant energy levels for simulating and calculating steady-state and transient energy distributions, light intensity changes, gain coefficients, etc. in the amplification process. As optimizing a single parameter with a six-temperature model may result in local optimization and a considerable workload, we employ a global optimization approach for the amplifier. Multiple parameters of the amplifier are optimized simultaneously. Thus, a six-temperature model serves as the fitness function, and a genetic algorithm is applied to globally optimize the cavity pressure and gas pressure ratio of CO2∶N2∶He in a self-developed RF-excited fast axial CO2 laser amplifier. Furthermore, by continuously adjusting the relevant parameters of the genetic algorithm, we obtain optimized results. Finally, the feasibility of this approach is confirmed through amplification experiments performed on an experimental platform.Results and DiscussionsIn this study, a six-temperature model is employed to identify the optimal operating conditions for the amplifier. Initially, a fixed V(CO2)∶V(N2)∶V(He)=5%∶25%∶70% is used to simulate the changes in the small-signal gain coefficients with the excitation electron number density under varying cavity pressures. The results indicate that the small-signal gain coefficients exhibit a pattern of increasing, stabilizing, and then gradually decreasing with increasing excitation electron number density. Different electron number densities (corresponding to the RF injection power) result in distinct optimal cavity pressures, with optima of 80 mbar (1 bar=100 kPa) and 100 mbar for a lower and higher excitation electron number density, respectively (Fig.7). Based on the simulation results, an optimal gas ratio and gas pressure are determined, considering the impact of the amplifier gas pressure and ratio on the small-signal gain and incorporating experimental data. Subsequently, the steady-state solution is used as the initial boundary condition, and a seed pulse with a pulse width of 150 ns and an average power of 110 W is injected to obtain the transient solution. This involves capturing the time-domain pulse evolution waveforms of both the seed and amplified output lasers (Fig.8). Based on the preliminary optimization results from the six-temperature model, a relatively optimal solution is obtained, resulting in an output power measurement of 2504 W under the operating conditions. As the experiment primarily considers the scenario of a 100% duty cycle for the seed, the small-signal gain coefficients derived from the steady-state solution serve as the objective function. After optimization using a genetic algorithm, the output power increases to 3422 W. The sum of the three gas pressures is 80 mbar, and the gas V(CO2)∶V(N2)∶V(He)=12.2%∶15.3%∶72.5%. Notably, the optimized He gas pressure corresponds closely with the initial value, whereas the optimized CO2 and N2 gas pressures differ from the initial values. This validates the feasibility and effectiveness of the proposed method (Table 4).ConclusionsIn this study, we optimize the gas pressure ratio and barometric pressure in an RF-excited fast axial CO2 laser amplifier by integrating a genetic algorithm with a six-temperature model. This optimization aims to achieve a higher small-signal gain, as indicated by the laser-amplified output power. In experiments injecting a 10.6 μm seed with 110 W using the gas pressure ratio optimized through the genetic algorithm, the laser amplified output power significantly increases from 2504 W in the unoptimized laser system to 3422 W. This model is valuable for enhancing the amplifier performance and offers practical guidance for designing and optimizing internally developed amplifiers. Owing to equipment constraints, the current optimization has focused on continuous seed amplification parameters, with further exploration planned for the optimal parameters in pulse amplification.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701016 (2024)
  • Peng Fu, Yanchun Zhang, Tao Zhao, Yongming Zhao, Song Tang, Ying Li, and Shendan Han

    ObjectiveHigh-power semiconductor laser diodes emitting at approximately 976 nm are in high demand in Yb-doped fiber lasers (YDFL) because YDFLs exhibit strong absorption peaks at approximately 976 nm. Specifically, the absorption cross section is as strong as three times that at a wavelength of approximately 915 nm. Thus, by using lasers with an emission wavelength of approximately 976 nm for optical pumping, the length of the active fiber can be significantly shortened, leading to cost savings and reduced nonlinear effects. With the ongoing advancements in various industrial applications, the emitting power of high-power lasers increases from 12 W (about 10 years ago) to approximately 30 W. Beyond the high-power requirement, one of the most sought-after features of high-power lasers is their power conversion efficiency (PCE). A superior PCE results in higher optical power emission, enhanced reliability, and reduced system costs.In this study, we demonstrate the design and fabrication of high-efficiency, high-power 976-nm lasers. The tests on our fabricated devices show that, at room temperature and under the continuous-wave (CW) operation condition, the power conversion efficiency (PCE) reaches as high as 72.4% when the injection current is 10 A. However, the efficiency decreases to 61.3% when the current rises to 30 A, at which the operating power is 29.4 W.MethodsThe epitaxy material is grown using the metal-organic chemical vapor deposition (MOCVD) method, and the structure contains an 8-nm-thick In0.175GaAs single quantum well (SQW) sandwiched between two separate confinement heterostructure (SCH) Al0.17GaAs layers. A wide optical cavity waveguide design is employed to reduce power density and cavity loss. The total thickness of the SCH layers is 1.5 μm. N-and P-doping are optimized to ensure the lasers produce the highest PCE when the emitting power is 25 W. Figure 1 shows the refractive index profile of our material structure, and Table 1 lists the detailed material structure.After designing the material structure, we proceed with the optimization process to determine the optimum cavity length and anti-reflection (AR) reflectivity. In our optimization, we assume the aperture width is 200 μm, and the laser chip is mounted onto 350-μm-thick AlN ceramics in a P-side down manner, which, in turn, is mounted onto a copper block. Figure 3 displays the dependence of the laser parameters on the cavity length and the AR reflectivity. Based on this, it is clear that when the cavity length is in the range of 4?5 mm, a longer cavity yields better efficiency because the long cavity has a smaller series resistance and thermal impedance. Based on the theoretical simulation, we choose the cavity to be 5-mm-long, and the AR reflectivity is approximately 1%.We conduct several material growth iteration processes to investigate the effect of growth conditions on device performance. Figure 4 shows the test results before growth optimization. In the figure, it can be observed that when tested at ambient temperature of 25 ℃ under the CW condition, the chip on sub-mount (COS) threshold current is approximately 1.2 A, slope efficiency is approximately 1.13 W/A, maximum PCE is 70.8% (corresponding optical power is 8.2 W). To further improve the device performance, we conduct growth optimization by optimizing the Al mole fractions for different layers in the structure, doping, and layer thickness.Results and DiscussionsThe test results for the devices before and after growth optimization are shown in Fig. 6. Figure 5 shows the light-current curves and power conversion efficiencies under different test temperatures for chips with growth optimization. The highest PCE is 72.4% at a current of approximately 10 A. The efficiency reduces to 61.3% when the current reaches 30 A (the corresponding optical power is 29.4 W). Our devices appear to perform even better than those published in the literature. Figures 8 and 9 show the energy ratios under different slow-axis far-field divergence angles and lasing spectrum, respectively. In Fig. 8, it can be observed that more than 95% of the optical power is within horizontal far-field divergence angle of 9°, indicating good beam quality. The reliability, which is of critical importance for real-world applications, is also carefully evaluated by placing a number of devices in an accelerated lifetime test (Fig.10). Based on the lifetime test, there is no observable power degradation after 2000 h accelerated testing at an elevated temperature of 45 ℃.ConclusionsIn summary, we demonstrate the design and fabrication of high-efficiency, high-power 976-nm lasers. These devices are reliable and efficient. The PCE is as high as 72.4% at a current of 10 A; however, the efficiency decreases to 61.3% when the current reaches 30 A.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701017 (2024)
  • Shaokun Wang, Miao Hu, Mengmeng Xu, Yingying Ji, Zerong Li, Haozhen Li, Meihua Bi, and Xuefang Zhou

    ObjectiveHigh-repetition-rate laser pulse sources have extensive applications in fields such as high-speed optical communications, optical clocks, wireless communications, high-capacity optical networks, quantum communications, and laser ranging. Researchers have proposed various methods to achieve high-repetition-rate laser pulses, including self-mode-locked lasers, passive mode-locked solid-state lasers, quantum-well Bragg-Perot lasers, harmonic mode-locked erbium-doped fiber lasers, and quantum-well Bragg-Perot mode-locked lasers. Owing to their compact structure and low cavity loss, self-mode-locked lasers are promising for obtaining high-repetition-rate laser pulse signals. However, achieving high-repetition-rate self-mode-locked laser pulses theoretically requires an extremely short laser cavity that makes it challenging for solid-state lasers to achieve a high-power output. This study presents a high-repetition-rate harmonic mode-locked laser based on an Nd∶YVO4 crystal that successfully achieves a harmonic mode-locked pulse output corresponding to the free spectral range of the gain medium by precisely adjusting the optical length of the resonant cavity.MethodsFirst, a realistic model for laser longitudinal mode selection simulation is established based on standard tool effects. Through simulation experiments, it is discovered that when the ratio of the optical length of the gain medium to that of the resonant cavity is a simple fraction q/p (where q and p are positive integers), the longitudinal mode spacing of the laser is modified to p times the original longitudinal mode spacing, which is also equal to q times the free spectral range of the gain medium. Subsequently, a simulation model for harmonic mode-locked lasers is established based on the damping harmonic oscillator model. Simulation experiments show that good harmonic mode-locked pulses can be obtained only when the ratio of the optical length of the gain medium to that of the resonant cavity is close to the simple fraction q/p. To validate these theoretical results, four sets of harmonic mode-locked Nd∶YVO4 lasers with different gain-medium lengths are designed and experimentally studied. The harmonic mode-locked pulse outputs of these four laser sets are investigated along with the relationship between the lockable range of the optical length of the resonant cavity and that of the gain medium.Results and DiscussionsIn the experiments, the optical length of the gain medium is fixed at 11.0, 22.0, 35.2, and 44.0 mm for the four laser sets. A comprehensive scan of the optical length of the resonant cavity is performed to study the effect of the ratio of the optical lengths of the gain medium to that of the resonant cavity on the laser pulse output. The experimental results show that when the ratio of the optical length of the gain medium to the optical length of the resonant cavity is close to 1/3, 2/5, and 3/7, the longitudinal mode spacing of the four laser sets is modified to one, two, and three times the free spectral range of the gain medium, respectively, and the laser output is harmonic mode-locked pulses corresponding to 1, 2, and 3 times the free spectral range of the gain medium. When the optical length of the gain medium is fixed, a lockable range exists for the optical length of the resonant cavity. When the optical length of the resonant cavity exceeds this lockable range, the laser output often exhibits the characteristics of multi-pulse-mode locking. Furthermore, the lockable range of the optical length of the resonant cavity is positively correlated with the optical length of the gain medium. To assess the stability of the laser output, an Nd∶YVO4 harmonic-mode-locked laser with a physical gain medium length of 20 mm is selected for long-term observation. The experimental results show that when laser outputs are the first, second, and third harmonics corresponding to the free spectral range of the gain medium, the spectral drifts within half an hour are 0.011, 0.018, and 0.010 nm, respectively, and the amplitude fluctuation of the laser pulse within 100 ns is 3.26%, 4.85%, and 3.61%, respectively.ConclusionsThis study successfully achieves harmonic mode-locked pulse outputs in four sets of Nd∶YVO4 lasers with different gain medium lengths. Theoretical analysis and experimental research reveal that when the ratio of the optical length of the gain medium to the optical length of the resonant cavity is very close to a simple fraction q/p, the longitudinal mode spacing of the resonant cavity is modified to q times the free spectral range of the gain medium, and the laser output consists of harmonic mode-locked pulses corresponding to q times the free spectral range of the gain medium. At optical lengths of 11.0 mm and 25.8 mm for the gain medium and resonant cavity, respectively, a third harmonic mode-locked pulse with a repetition frequency of 40.92 GHz and a pulse duration of 24.4 ps is achieved. At a pump power of 6.57 W, the average output power is 790.7 mW. Furthermore, the optical length of the resonant cavity has a lockable range that is positively correlated with the optical length of the gain medium. These findings provide important references for the design and optimization of harmonic mode-locked lasers to ensure stable mode-locked pulse output. Future research will explore experiments using laser crystals with different doping concentrations but the same geometric length to verify the repeatability and generality of these conclusions and to further optimize the performance of harmonic mode-locked lasers.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701018 (2024)
  • Shuai Liu, Kai Chen, Yue Sun, Chao Yan, Hongzhan Qiao, Jiying Chang, Jining Li, Yuye Wang, Kai Zhong, Degang Xu, and Jianquan Yao

    ObjectiveNanosecond mid-infrared lasers with central wavelengths of 3?5 μm are used in various applications, such as environmental monitoring, LIDAR , and electro-optical countermeasures, owing to the high atmospheric transmission at this band. Thus, developing high-energy mid-infrared laser sources with high pulse repetition frequencies is crucial for practical applications because such lasers exhibit superior jamming effects and can effectively target an opponent’s anti-jamming mechanism. An optical parametric oscillator (OPO) is suitable for efficiently realizing a tunable, high-pulse-repetition-frequency laser owing to its compactness, wide tuning range, and high conversion efficiency. The output characteristics of an OPO are primarily determined by the optical properties of the constituent nonlinear crystals. Among the various nonlinear crystals used in OPOs, periodically poled magnesium-oxide-doped lithium niobate (MgO∶PPLN) has attracted considerable attention because of its large effective nonlinear coefficient, high damage threshold, and flexible phase matching ability. However, to date, a pulse energy of the order of hundred microjoules has been realized for PPLN-OPOs with high pulse-repetition frequencies. In this study, a kilohertz- and millijoule-level mid-infrared OPO based on an MgO∶PPLN crystal is developed. The OPO, which is pumped by a 1064-nm nanosecond pulsed laser based on multi-period MgO∶PPLN with a double-pass single-resonance structure and flat-concave cavity, delivers a high output energy of up to 1.041 mJ at 4.08 μm with a pulse repetition frequency of 1 kHz. Subsequently, the output energy of the high-pulse-repetition-frequency mid-infrared OPO based on a PPLN crystal is increased to mJ range, which is suitable for mid-infrared electro-optical countermeasure applications.MethodsThe output energy of a mid-infrared laser with a high pulse-repetition frequency is significantly increased. First, the OPO is pumped by a high-performance, high-pulse-repetition-frequency 1064-nm laser with a double-pass single-resonance structure, which improves the utilization of the pump light and reduces the pumping threshold. Second, we evaluate the output characteristics for different OPO cavity types and compare them with the theoretical calculation results to determine the optimal conversion efficiency under these pumping conditions. With the combined periodic and temperature modulations, the OPO maintains a flat and high output energy over a wide range of 3.49?4.48 μm, wherein the energy exceeds 0.9 mJ.Results and DiscussionsIn the experiment, a pump power higher than 6.2 W easily damages the surface of the crystal, indicating that maximizing the pump power to 6.2 W will result in a high output energy. We determine the relationship between conversion efficiency and suprathreshold multiplicity. The maximum conversion efficiency is obtained when the suprathreshold multiplier reaches 6.6 (Fig.3). Further, the pumping threshold changes significantly with changes in the cavity type because a flat-concave cavity improves the mode matching of the three interacting waves in the OPO cavity, resulting in a low OPO threshold. However, as the radius of curvature (R) decreases, the input mirror affects the pump light dispersion, which in turn reduces the intracavity pump power density, resulting in a decrease in the conversion efficiency of the parametric process. Figure 4(b) shows that using a flat concave mirror with R=300 mm as the input mirror results in a low threshold of 0.9 W. In our case, the suprathreshold multiplier is the closest to the optimum value of 6.6, and thus, the highest output power is obtained for this cavity. Consequently, we maximize the use of pumping energy below the damage threshold, thereby further increasing the output energy.ConclusionsWe demonstrate tunable mid-infrared lasers based on MgO∶PPLN crystals with a pulse repetition frequency of the order of kilohertz and an output energy of the order of millijoules. At a pump power of 6.2 W, the single-pulse energy of the 4.08-μm mid-infrared laser is 1.041 mJ with a pulse repetition frequency of 1 kHz. The optical-to-optical conversion and slant efficiencies are 16.8% and 19.3%, respectively, and the mid-infrared laser pulse width is approximately 9.53 ns. The fluctuation in the average power measured within 30 min is 0.24% (root mean square, RMS). The final output is highly stable, and the observed small fluctuations are caused by the drifts in the central wavelength of the laser diode. Combined with periodic and temperature modulations, the OPO delivers a flat and high output energy signal over a wide range of 3.49?4.48 μm. The tunable range covers 3.49?4.48 μm within the polarization period range of 27.5?29.6 μm at 25?200 ℃.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701019 (2024)
  • Hanghang Yu, Zhitao Zhang, and Hongwen Xuan

    ObjectiveDeep-ultraviolet (DUV) lasers have important applications in fields such as laser processing and semiconductor photolithography because of their high photon energy. A DUV laser source must have both a high output power and good beam quality for laser machining. Sum-frequency generation (SFG) in nonlinear optical crystals can be used in a DUV laser to produce shorter and even vacuum ultraviolet wavelengths such as 193 nm. Hence, high-power DUV lasers with a good beam quality have been a hot research topic in recent decades. On the other hand, it is now possible to use a domestic commercially produced CLBO crystal as a key nonlinear optical material for high-power DUV solid-state laser generation. However, the crystal quality for high-power DUV operation has not yet been verified because of the hydroscopic problem. Here, we report a high-power DUV laser output with a good beam quality and high conversion efficiency produced using domestic commercially available CLBO crystals, which demonstrates the potential to achieve a higher power DUV laser output.MethodsIn our study, we investigate DUV laser generation using CLBO crystals with different lengths. The research focuses on the characteristics of these high-power solid-state DUV light sources, including the output power, efficiency, power stability, beam quality, spectrum, and pulse width. The experimental setup is shown in Fig.1. The pump power is regulated by a combination of a half-wave plate (HWP) and polarizing beam splitter (PBS). The pump spot diameter is adjusted using a plano-convex lens (L1) with a focal length of f=+200 mm and plano-concave lens (L2) with a focal length of f=-100 mm. The dimensions of CLBO crystals are 5 mm×5 mm×10 mm and 5 mm×5 mm×20 mm, respectively. In both, cutting angle (θ) is 61.7°, and the two end-faces of the crystals are polished but have no coating. The CLBO crystals are heated to a temperature greater than 150 °C and exposed in a noble gas environment to avoid the hydroscopic problem. The 266 nm DUV laser output is spatially separated from the 532 nm pump light using an uncoated CaF2 prism.Results and DiscussionsA 20 mm long CLBO crystal pumped by a solid-state 532 nm laser generates a 266 nm DUV laser with an average power of 14 W, a repetition rate of 100 kHz, and a pulse width of 1.8 ns. The pump power is 34.2 W, and the optical conversion efficiency reaches 41%. The results are shown in Fig.2. Comparative experiments are conducted on a 10 mm long CLBO crystal using another light source system with a pump power density similar to that mentioned above. The output power of the 266 nm laser is 1.7 W with a pump power of 8 W, corresponding to an efficiency of 22%. This indicates that the crystal length is an important parameter to achieve a high conversion efficiency. The power stability of the 266 nm laser generated by the 20 mm long CLBO crystal reaches 1.52% within 10 min. There are several factors that influence the power stability, including the stability of the fundamental pump power, inhomogeneous temperature distribution of the crystal, and instability of the mechanics. The measured beam quality of the 266 nm laser at an output power of 7 W is shown in Fig.4. The transverse beam quality factor (Mx2) and longitudinal beam quality factor (My2) are 1.54 and 1.97, respectively. The inset shows the beam profile acquired at a distance of 1.5 m away from the crystal after beam expansion by a concave lens. The circular beam shape and homogenous distribution of the intensity also indicate the high beam quality of the generated 266 nm laser.ConclusionsA nanosecond 532 nm fundamental laser and a 20 mm long domestic commercially available CLBO crystal are used to generate a high-power DUV solid-state laser at 266 nm, with an average power of 14 W and a conversion efficiency of 41%. The beam quality factors, Mx2 and My2, of the 266 nm laser have values of 1.54 and 1.97 at a power of 7 W, respectively. The root mean square value of the power stability at 10 W reaches 1.52% within 10 min. The temperature distribution and mechanical stress are the main factors influencing the DUV power stability. A 266 nm laser with higher power and better beam quality can be achieved by improving the temperature control system and mechanical design, as well as by increasing the pump power. This can be applied to laser machining, lithography, and vacuum ultra-violet laser generation in the future.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701020 (2024)
  • Rui Xiao, Beifei Yan, Zhendi Cai, Pengcheng Fang, Yanqi Xu, Yan Wang, Huanyao Sun, and Qunfeng Chen

    ObjectiveUltra-stable lasers, characterized by high-frequency stability and extremely narrow linewidths, serve as vital tools in precision measurement and physics research. They find extensive applications in fields such as atomic clocks, gravitational wave detection, and quantum communication. With improvements in experimental precision, the demand for lasers with narrower linewidths and higher frequency stability has increased. Therefore, enhancing the frequency stability of ultra-stable lasers has become a significant area of research. Currently, the Pound?Drever?Hall (PDH) frequency stabilization technique is widely used for generating ultra-stable lasers. By employing this technique, the laser frequency is locked to a high-precision Fabry?Perot (FP) cavity to reduce the laser linewidth and improve frequency stability. If the feedback system has a sufficiently high signal-to-noise ratio (SNR), an appropriate loop bandwidth, and gain, it can fully suppress the free-running frequency noise and drift of the laser. Ultimately, the frequency stability primarily depends on the performance of the ultra-stable cavity (reference cavity), which serves as the frequency reference, and the servo system that controls the laser frequency. Thus, enhancing the stability of the reference cavity and optimizing the performance of the frequency-locking system are critical for improving the frequency stability of ultra-stable lasers.MethodsThis study theoretically investigates the influence of interference effects on PDH locking error signals and identifies optimization directions for the optical path. In experiments, a reflection mirror was initially used instead of the reference cavity to prevent data distortion caused by laser frequency drifts when the laser operates freely and scans through the resonances of the reference cavity. Subsequently, an Agilent 34401A digital multimeter was employed to measure the voltage values of the locking error signals under different optical path conditions. The stabilities of the locking error were calculated and compared under different optical path designs. Finally, the optimal design for reducing interference effects in the optical path was chosen. A self-developed photodetector and frequency-locking circuit were used to stabilize the laser frequency to a 10 cm reference cavity. To enhance the stability of the length of the reference cavity, it was enclosed within a vacuum chamber using a transportable mounting structure. Additionally, a Peltier temperature control system was installed inside the vacuum chamber to maintain the cavity temperature near the zero-crossing point of its coefficient of thermal expansion. The entire system was positioned on an active vibration isolation platform. We replicated this setup to create two independent ultra-stable laser systems. The two beams of ultra-stable lasers were combined using a fiber combiner and directed to a high-speed photodetector for beat-note detection. Beat-note frequencies were collected using a Microchip 53100A phase noise analyzer, which was used to evaluate the frequency stability of the locked lasers.Results and DiscussionsThe study reveals that adding isolators and wave plates after an electro-optic modulator (EOM) can effectively suppress interference in the optical path (Fig. 2). After optimization, the relative stability of the locked frequency system reaches 9×10-7. The reference cavity's vibration sensitivity (Table 1, Fig. 7) and temperature instability (Fig. 9) are both sufficiently low, such that the impact of vibration noise and environmental temperature fluctuations on cavity length stability is overshadowed by that of thermal noise. With a reference cavity linewidth of 21 kHz (precision of 75000), the frequency stability of a 1.5 μm laser is locked at the 4.0×10-16 level (Fig. 10), which is approaching the thermal noise limit of the 10 cm reference cavity.ConclusionsThis study theoretically analyzes the influence of interference effects in the optical path on PDH frequency locking error signals and experimentally studies effective methods for reducing these effects. We develop two independent 1.5 μm ultra-stable lasers locked onto two 10 cm ULE reference cavities. Through mutual comparison, the short-term stability of each individual laser is evaluated. The stability reaches 4.6×10-16 for integration times of 1 s and 4.0×10-16 for integration times in the range 2?5 s. This ultra-stable laser system, which utilizes a 1.5 μm polarization-maintaining optical fiber output, is suitable for application in long-distance optical frequency transfer and quantum communication. The study on eliminating interference effects in the optical path provides a reference for future development of ultra-stable lasers with frequency stability at the level of 10-17.

    Apr. 10, 2024
  • Vol. 51 Issue 7 0701021 (2024)
  • Senyu Wang, Jianfeng Li, Jinlong Wan, Hongyu Luo, and Jie Weng

    Apr. 10, 2024
  • Vol. 51 Issue 7 0716001 (2024)
  • Wei Li, Pengfei Ma, Yu Deng, Yisha Chen, Qi Chen, Wei Liu, Hu Xiao, Zilun Chen, Lei Si, and Pu Zhou

    Apr. 10, 2024
  • Vol. 51 Issue 7 0716002 (2024)
  • Zhimeng Huang, Dongxian Geng, Bida Liu, Dandan Zhou, Fan Zhang, Rui Zhang, Zhitao Peng, Qihua Zhu, and Dongxia Hu

    Apr. 10, 2024
  • Vol. 51 Issue 7 0716003 (2024)
  • Please enter the answer below before you can view the full text.
    Submit